KR20150006805A - 플루오로카본 기반 종횡비 무관 에칭 - Google Patents

플루오로카본 기반 종횡비 무관 에칭 Download PDF

Info

Publication number
KR20150006805A
KR20150006805A KR20140086273A KR20140086273A KR20150006805A KR 20150006805 A KR20150006805 A KR 20150006805A KR 20140086273 A KR20140086273 A KR 20140086273A KR 20140086273 A KR20140086273 A KR 20140086273A KR 20150006805 A KR20150006805 A KR 20150006805A
Authority
KR
South Korea
Prior art keywords
fluorocarbon
etch layer
containing molecules
etch
ion bombardment
Prior art date
Application number
KR20140086273A
Other languages
English (en)
Inventor
라나딥 보우믹
에릭 에이. 허드슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150006805A publication Critical patent/KR20150006805A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma & Fusion (AREA)

Abstract

패터닝된 마스크 아래에 배치된 에칭 층 내로 피처들을 에칭하기 위한 방법이 제공된다. 적어도 3 개의 사이클들이 제공되며, 각 사이클은, 플라즈마를 생성함으로써, 패터닝된 마스크에 의해서 노출된 에칭 층의 부분들에서 표면 라디칼들의 활성화된 자리들 (activated sites) 을 생성하도록 에칭 층의 이온 충격 (ion bombardment) 을 제공하는 단계; 플라즈마를 소화하는 단계; 에칭 층을 복수의 플루오로카본 함유 분자들에 노출시켜서, 복수의 플루오로카본 함유 분자들로 하여금 활성화된 자리들에 선택적으로 결합되게 하는 단계로서, 상기 선택적 결합은 자기 정지성인 (self limiting), 상기 활성화된 자리들에 선택적으로 결합되게 하는 단계; 및 플루오로카본 함유 분자들과 에칭 층 간의 에칭 반응을 개시하도록 에칭 층의 이온 충격을 제공하는 단계로서, 에칭 반응을 개시하도록 하는 에칭 층의 이온 충격은 에칭 층과 플루오로카본 함유 분자로부터 형성된 휘발성 에칭 산물들의 형성을 유발하는, 상기 에칭 층의 이온 충격을 제공하는 단계를 포함한다.

Description

플루오로카본 기반 종횡비 무관 에칭{FLUOROCARBON BASED ASPECT-RATIO INDEPENDENT ETCHING}
본 발명은 반도체 웨이퍼 상에 반도체 디바이스들을 형성하는 방법에 관한 것이다. 구체적으로, 본 발명은 반도체 디바이스 형성 시에 층을 에칭하는 것에 관한 것이다.
반도체 디바이스들을 형성할 시에, 몇몇 디바이스들은 폭이 큰 피처 및 폭이 좁은 피처를 제공하도록 에칭될 수 있다.
본 발명의 목적에 따라서 그리고 전술한 바를 달성하기 위해서, 패터닝된 마스크 아래에 배치된 에칭 층 내로 피처들을 에칭하기 위한 방법이 제공된다. 적어도 3 개의 사이클들이 제공되며, 각 사이클은, 플라즈마를 생성함으로써, 패터닝된 마스크에 의해서 노출된 에칭 층의 부분들에서 표면 라디칼들의 활성화된 자리들 (activated sites) 을 생성하도록 에칭 층의 이온 충격 (ion bombardment) 을 제공하는 단계; 플라즈마를 소화하는 단계; 에칭 층을 복수의 플루오로카본 함유 분자들에 노출시켜서, 복수의 플루오로카본 함유 분자들로 하여금 활성화된 자리들에 선택적으로 결합되게 하는 단계로서, 상기 선택적 결합은 자기 정지성인 (self limiting), 상기 활성화된 자리들에 선택적으로 결합되게 하는 단계; 및 플루오로카본 함유 분자들과 에칭 층 간의 에칭 반응을 개시하도록 에칭 층의 이온 충격을 제공하는 단계로서, 에칭 반응을 개시하도록 하는 에칭 층의 이온 충격은 에칭 층과 플루오로카본 함유 분자로부터 형성된 휘발성 에칭 산물들의 형성을 유발하는, 상기 에칭 층의 이온 충격을 제공하는 단계를 포함한다.
본 발명의 다른 구현에서, 패터닝된 마스크 아래에 배치된 에칭 층 내로 피처들을 에칭하기 위한 방법이 제공된다. 적어도 하나의 사이클이 제공되며, 각 사이클은 패터닝된 마스크에 의해서 노출된 에칭 층의 부분들에서 활성화된 자리들을 생성하도록 에칭 층의 이온 충격을 제공하는 단계; 에칭 층을 복수의 플루오로카본 함유 분자들에 노출시켜서 복수의 플루오로카본 함유 분자들이 활성화된 자리들에 선택적으로 결합되는 단계로서, 상기 선택적 결합은 자기 정지성인 (self limiting), 상기 활성화된 자리들에 선택적으로 결합되는 단계; 및 플루오로카본 함유 분자들과 에칭 층 간의 에칭 반응을 개시하도록 에칭 층의 이온 충격을 제공하는 단계를 포함한다.
본 발명의 이러한 특징들 및 다른 특징들은 다음의 도면들과 함께 본 발명의 다음의 상세한 설명 부분에서 이하에서 보다 상세하게 기술될 것이다.
본 발명은 한정적이 아니라 예시적으로 첨부 도면의 도면들에서 예시되며, 도면들에서 유사한 참조 부호들은 유사한 요소들을 나타낸다.
도 1은 본 발명의 실시예의 하이 레벨 흐름도이다.
도 2a 내지 도 2e는 본 발명의 실시예에 따라 프로세싱된 스택의 개략적 단면도들이다.
도 3은 본 발명의 실시예에서 사용되는 플라즈마 프로세싱 챔버의 개략적 도면이다.
도 4는 본 발명을 실시하는데 사용될 수 있는 컴퓨터 시스템의 개략도이다.
본 발명이 이제 첨부 도면들에서 예시된 바와 같은 본 발명의 몇몇 바람직한 실시예들을 참조하여서 세부적으로 기술될 것이다. 다음의 설명에서, 다수의 특정 세부사항들이 본 발명의 철저한 이해를 제공하기 위해서 제시된다. 그러나, 본 발명은 이러한 특정 세부사항 전부 또는 일부 없이도 실시될 수 있음이 본 기술 분야의 당업자에게 자명하다. 다른 실례에서, 잘 알려진 프로세스 단계들 및/또는 구조들은 본 발명을 불필요하게 모호하게 하지 않도록 하기 위해서 세부적으로는 기술되지 않았다.
도 1은 본 발명의 실시예의 하이 레벨 흐름도이다. 본 실시예에서, 기판이 에칭 챔버 내에 배치된다 (단계 104). 바람직하게는, 기판은 패터닝된 마스크 아래에 배치된 에칭 층을 갖는다. 에칭 층은 플로우로카본을 사용하여서 원자 층 에칭 (atomic layer etching) 을 사용하여서 에칭된다 (단계 108). 이러한 에칭은 반복적 프로세스 (cyclical process) 를 수반한다. 각 사이클에서, 활성화된 자리들이 생성된다 (단계 112). 에칭된 층의 노출된 부분들의 이온 충격 (ion bombardment) 이 에칭 층 내에서 활성화된 자리들을 생성한다. 활성화된 자리들은 플루오로카본 함유 분자들에 노출되며 (단계 116), 이로써 몇몇 분자들이 활성화된 자리들에 부착된다. 에칭 반응은 이온 충격에 의해서 플루오로카본과 에칭 층 간에서 개시된다 (단계 120). 해당 사이클을 반복할지의 여부가 결정된다 (단계 124). 기판이 챔버로부터 제거된다 (단계 128).
실례
본 발명의 바람직한 실시예에서, 패터닝된 마스크 아래에 배치된 실리콘 산화물의 에칭 층을 갖는 기판이 에칭 챔버 내에 배치된다 (단계 104). 도 2a는 패터닝된 마스크 (212) 아래에 배치된 에칭 층 (208) 을 갖는 기판 (204) 을 갖는 스택 (200) 의 개략적 단면도이다. 본 실례에서, 하나 이상의 층들이 기판 (204) 과 에칭 층 (208) 간에 또는 에칭 층 (208) 과 패터닝된 마스크 (212) 간에 배치될 수 있다. 본 실례에서, 패터닝된 마스크 (212) 는 폴리실리콘이며 에칭 층 (208) 은 실리콘 산화물 기반 유전체이다. 다른 실시예들은 스핀-온 유기 마스크 층 및 α-C, 비정질 카본 (ACL) 과 같은 다른 중요한 마스크 재료들을 사용할 수 있다. 본 실례에서, 마스크 패턴 피처들 (220) 이 패터닝된 마스크 (212) 내에 형성되었다. 몇몇 실시예들에서, 마스크 패턴 피처들 (220) 은 기판 (204) 이 챔버 내에 배치되기 이전에 형성된다. 다른 실시예들에서, 마스크 패턴 피처들 (220) 은 기판 (204) 이 챔버 내에 있는 동안에 형성된다. 도시된 바와 같이, 일부 마스크 패턴 피처들 (220) 은 다른 마스크 패턴 피처들 (220) 보다 폭이 클 수 있다. 폭만이 쉐이딩 (shading) 에 영향을 주는 유일한 인자는 아니고, 홀의 형상도 역시 영향을 줄 수 있다. 본 실례에서, 일 마스크 패턴 피처 (220) 는 다른 마스크 패턴 피처 (220) 보다 폭이 몇 배 크다.
도 3은 다음의 단계들 중 하나 이상에서 사용될 수 있는 플라즈마 프로세싱 챔버 (300) 의 개략도이다. 플라즈마 프로세싱 챔버 (300) 는 한정 링 (302), 상부 전극 (304), 하부 전극 (308), 가스 소스 (310) 및 배기 펌프 (320) 를 포함한다. 플라즈마 프로세싱 챔버 (300) 내에서, 기판 (204) 이 하부 전극 (308) 상에 배치된다. 하부 전극 (308) 은 기판 (204) 을 홀딩하기 위한 적합한 기판 척킹 메카니즘 (예를 들어서, 정전 클램핑, 기계적 클램핑 등) 을 포함한다. 반응기 상단부 (328) 는 하부 전극 (308) 에 바로 대향하여 배치된 상부 전극 (304) 을 포함한다. 상부 전극 (304), 하부 전극 (308) 및 한정 링들 (302) 이 한정된 플라즈마 공간 (340) 을 구획한다. 가스가 가스 소스 (310) 에 의해서 가스 유입구 (343) 를 통해서 한정된 플라즈마 공간 (340) 내로 공급되고 한정 링들 (302) 및 배기 포트를 통해서 배기 펌프 (320) 에 의해서 한정된 플라즈마 공간 (340) 으로부터 배기된다. 가스를 배기하는 것을 지원하는 것 이외에, 배기 펌프 (320) 는 압력을 조정하는 것을 지원한다. 이 실시예에서, 가스 소스 (310) 는 아르곤 가스 소스 (312), 플루오로카본 함유 가스 소스 (316) 및 추가 가스 소스 (318) 를 포함한다. 가스 소스 (310) 는 다른 가스 소스들을 더 포함할 수 있다. RF 소스 (348) 가 하부 전극 (308) 에 전기적으로 접속된다. 챔버 벽들 (352) 이 상부 전극 (304), 하부 전극 (308) 및 한정 링들 (302) 을 둘러싼다. RF 전력을 전극에 접속시키는 사이한 조합들이 가능하다. 바람직한 실시예들에서, 27 MHz, 60 MHz 및 2 MHz 전력 소스들이 하부 전극 (308) 에 접속된 RF 전력 소스 (348) 를 구성하며, 상부 전극 (304) 는 접지된다. 제어기 (335) 가 RF 소스 (348), 배기 펌프 (320) 및 가스 소스 (310) 에 제어가능하게 접속된다. 바람직하게는, 프로세스 챔버 (300) 는 도시된 바와 같이 CCP (capacitive coupled plasma) 반응기이다. 다른 실시예들에서, ICP (inductive coupled plasma) 반응기 또는 표면파, 마이크로웨이브 또는 ECR (electron cyclotron resonance) 와 같은 다른 소스들이 사용될 수 있다.
도 4는 본 발명의 실시예들에서 사용되는 제어기 (335) 를 구현하는데 적합한 컴퓨터 시스템 (400) 을 나타내는 하이 레벨 블록도이다. 컴퓨터 시스템 (400) 은 집적 회로, 인쇄 회로 보드, 및 소형 핸드헬드 디바이스로부터 거대 수퍼 컴퓨터에 이르는 수많은 물리적 형태를 가질 수 있다. 컴퓨터 시스템 (400) 은 하나 이상의 프로세서 (402) 를 포함하며, 전자 디스플레이 디바이스 (404) (그래픽, 텍스트 및 다른 데이터를 디스플레이하기 위함), 주 메모리 (406) (예를 들어서, RAM), 저장 디바이스 (408) (예를 들어서, 하드 디스크 드라이브), 이동식 저장 디바이스 (410) (예를 들어서, 광 디스크 드라이브), 사용자 인터페이스 디바이스들 (412) (예를 들어서, 키보드, 터치 스크린, 키패드, 마우스 또는 다른 포인팅 디바이스 등), 및 통신 인터페이스 (414) (예를 들어서, 무선 네트워크 인터페이스) 를 더 포함할 수 있다. 통신 인터페이스 (414) 는 링크를 통해서 컴퓨터 시스템 (400) 과 외부 디바이스들 간에서 소프트웨어 및 데이터가 전달될 수 있게 한다. 시스템은 또한 전술한 디바이스들/모듈들이 연결되는 통신 인프라스트럭처 (416) (예를 들어서, 통신 버스, 크로스-오버 바 또는 네트워크들) 를 포함할 수 있다.
통신 인터페이스 (414) 를 통해서 전달된 정보는 신호들을 반송하며 와이어 또는 케이블, 광섬유들, 전화 라인, 셀룰러 전화 링크, 무선 주파수 링크 및/또는 다른 통신 채널들을 사용하여서 구현될 수 있는 통신 링크를 통해서, 통신 인터페이스 (414) 에 의해서 수신될 수 있는 전자적 신호, 전자기적 신호, 광학적 신호 또는 다른 신호를 포함하는 신호 형태로 존재할 수 있다. 이러한 통신 인터페이스를 사용하여서, 하나 이상의 프로세서들 (402) 은 상술한 방법 단계들을 수행하는 과정에서 정보를 네트워크로부터 수신하거나 정보를 네트워크로 출력할 수 있다. 또한, 본 발명의 방법 실시예들은 오직 프로세서들 상에서 실행되거나 프로세싱의 일부를 공유하는 원격 프로세서들과 함께 인터넷과 같은 네트워크를 통해서 실행될 수 있다.
용어 "비일시적 컴퓨터 판독가능한 매체"는 일반적으로 하드 디스크, 플래시 메모리, 디스크 드라이브 메모리, CD-ROM, 및 다른 형태의 영구 메모리와 같은, 주 메모리 디바이스, 보조 메모리 디바이스, 이동식 저장 디바이스 및 저장 디바이스와 같은 매체를 지칭하는데 사용된다. 컴퓨터 코드의 실례들은 컴파일러에 의해서 생성되는 것과 같은 머신 코드 및 인터프레터를 사용하여서 컴퓨터에 의해서 실행되는 보다 높은 레벨의 코드를 포함하는 파일들을 포함할 수 있다. 컴퓨터 판독가능한 매체는 또한 반송파에서 구현되는 컴퓨터 데이터 신호에 의해서 전송되며 프로세서에 의해서 실행가능한 인스트럭션들의 시퀀스를 표현하는 컴퓨터 코드일 수 있다.
기판 (204) 이 플라즈마 프로세싱 챔버 (300) 내에 배치된 후에, 에칭 층 (208) 이 원자 층 에칭 (atomic layer etching) 을 사용하여서 에칭된다 (단계 108). 이온 충격 (ion bombardment) 을 사용하여서 활성화된 자리들이 생성된다 (단계 112). 본 실례에서, 50 mT 압력 및 유동하는 800 sccm Ar를 챔버에 제공함으로써 Ar 플라즈마가 채용된다. 60 MHz에서 400 W 및 27 MHz에서 100 W의 RF 입력이 Ar을 에너자이징하도록 제공된다. 웨이퍼 온도 20 ℃가 유지된다. 이 프로세스는 3 초 동안 유지된다. 도 2b는 아르곤 이온들 (228) 의 충격에 의해서 생성되는 활성화된 자리들 (216) 의 생성 동안에 패터닝된 마스크 (212) 아래에 배치된 에칭 층 (208) 을 갖는 기판 (204) 의 스택 (200) 의 개략적 단면도이다. 활성화된 자리들 (216) 가 생성된 후에, 아르곤 이온들 (228) 의 충격이 중지된다. 활성화된 자리들 (216) 의 층은 매우 얇으며, 활성화된 자리들 (216) 을 예시하기 위해서 축척대로 도시되지 않는다. 이온 충격의 지향성으로 인해서, 활성화된 자리들은 에칭 층 (208) 의 표면 및 마스크 층 (217) 의 표면을 포함하는 수평 표면들 상에서 선호적으로 생성된다. 피처들 (218) 의 측벽들은 이온 충돌로부터의 매우 낮은 에너지 전달 및 매우 낮은 이온 플럭스로 인해서 최소한으로 활성화된다.
이어서, 에칭 층 (208) 이 플루오로카본 함유 분자들을 포함하는 증착 가스에 노출된다 (단계 116). 본 실례에서, l-C4F6 (1,3-hexafluorobutadiene) 이 20 sccm 플로우 레이트에서, 10 mT 압력에서, 웨이퍼 온도 20o C 에서, 어떠한 RF 여기도 없이, 3 초 동안에 유입된다. 도 2c는 플루오로카본 함유 분자들에 노출된 후의, 패터닝된 마스크 (212) 아래에 배치된 에칭 층 (208) 을 갖는 기판 (204) 의 스택 (200) 의 개략적 단면도이다. 플루오로카본 함유 분자들이 플루오로카본 함유 분자들 (232) 의 선택적이면서 자기 정지성인 증착을 형성하도록, 활성화된 자리들 (216) 상에 선택적으로 증착되게, 플루오로카본 함유 분자들이 활성화된 자리들 (216) 에 부착된다. 증착의 선택적 특성으로 인해서, 최소의 플루오로카본 함유 분자들이 최소의 활성화된 자리들을 갖는 측벽들에 부착된다. 활성화된 자리가 플루오로카본 함유 분자들에 의해서 점유된 후에, 이 자리는 후속하는 플루오로카본 함유 분자들에 대해서는 덜 활성 상태가 되며 이로써 플루오로카본 함유 분자 표면 커버리지의 자기 정지성인 정도로 이어진다. 에칭 층의 노출된 표면에서, 플루오로카본 함유 분자들 (232) 및 활성화된 자리들 (216) 의 혼합된 층이 존재한다. 이 혼합된 층 아래에 에칭 층 (208) 이 존재한다. 플루오로카본 함유 분자 증착이 선택적이며 자기 정지성이기 때문에, 플루오로카본 함유 분자들의 거의 균일하게 두꺼운 층이 피처 폭 및/또는 종횡비에 무관하게 피처들의 하단부들 상에 증착된다.
에칭 반응이 플루오로카본 함유 분자들과 에칭 층 간에서 개시된다 (단계 120). 이 실시예에서, 이온 충격이 사용되어서 에칭 반응을 개시한다. 본 실례에서, 위의 활성화를 위해서 사용된 바와 동일한 레시피가 여기에서 사용될 수 있다. 도 2d는 아르곤 이온들의 충격 (236) 에 의해서 에칭 반응이 개시되는 동안의, 패터닝된 마스크 (212) 아래에 배치된 에칭 층 (208) 을 갖는 기판 (204) 의 스택 (200) 의 개략적 단면도이다. 본 실례에서, 이온 충격은 플루오로카본 분자가 에칭 층과 반응하여서 플루오로카본 함유 분자들 및 에칭 층의 성분들로부터 휘발성 산물들 (238) 이 생성되게 한다. 에칭 반응으로부터의 휘발성 산물들 (238) 중 일부는 SiF4, SiF2, CO2, 및 CO 일 수 있다. 이 반응은 증착된 플루오로카본의 양에 의해서 제한된다. 에칭 층 표면 상에 증착된 플루오로카본 함유 분자들의 균일한 양 또는 두께를 제공함으로써, 에칭량은 상이한 폭들 또는 상이한 종횡비들을 갖는 피처들 간에서 보다 균일하게 될 수 있다. 바람직하게는, 전체 혼합된 층이 에칭 제거된다. 이 사이클의 끝에서, 부분적으로 에칭된 피처들 (240) 이 형성된다.
이어서, 에칭 사이클이 에칭의 진행을 증분시키는데 필요한데로 반복될 수 있다 (단계 124). 에칭 단계들이 복수의 사이클에 걸쳐서 수행된 후에, 에칭이 완료된다. 도 2e는 피처들 (240) 의 에칭 완료 후의 에칭 층 (208) 을 갖는 기판 (204) 의 스택 (200) 의 개략적 단면도이다.
이온 충격에 의한 활성화가 강한 지향성이며 플루오로카본 증착이 자기 정지성이기 때문에, 결과적인 에칭은 기하구조, 종횡비, CD, 및 폭과는 매우 독립적이며, 웨이퍼 내 균일도 및 웨이퍼 간 재현성에 있어서 최소의 편차를 갖는다. 위의 바람직한 실시예들이 유전체 에칭 층을 에칭하는 유전체 에칭을 제공하였지만, 다른 실시예들에서, 플루오로카본 에칭은 다른 재료들을 에칭하는데 사용될 수 있다. 플루오로카본 증착의 선택성 및 자기 정지성은 해리되지 않은 플루오로카본 함유 분자들을 사용함으로써 증가된다. 이러한 분자들은 자기 정지성인 방식으로 활성화된 자리들에 부착될 가능성이 보다 높으며 활성화되지 않은 자리들에 부착될 가능성이 보다 낮으며, 이로써 선택성 및 종횡비 무관성을 증가시킨다. 위의 실시예에서, 플루오로카본의 노출 및 증착은 해리되지 않은 플루오로카본 함유 분자들을 최대화하기 위해서 플라즈마 없이 이루어진다. 에칭 층의 해리된 또는 이온화된 플루오로카본 함유 분자들로의 노출을 줄이기 위해서, 활성화된 자리들에 결합되지 않는 임의의 잔여 플루오로카본 함유 분자들을 제거하기 위한 펌프 및/또는 퍼지 단계가, 화학 반응을 개시하는 후속 플라즈마 이온 충격 이전에 제공된다.
플루오로카본 함유 분자들용으로 1,3-헥사플루오로부타디엔 (1,3-hexafluorobutadiene) 을 사용하는 것은 충분한 반응성을 갖는 플루오로카본을 제공한다. 다른 실시예들은 플루오로카본 함유 분자로서 C3F6O (Hexafluoropropylene oxide) 또는 C2F4 을 사용할 수 있다.
다른 실시예들에서, 플루오로카본 함유 분자의 선택적 증착은, 최초의 분자의 일부가 표면 활성 자리에 결합되고 최초 분자의 다른 부분은 이 표면을 안정한 가스상 종들로서 남기도록, 교환 반응으로서 발생할 수 있다. 이러한 일 실시예는C2F4O (가스상 종들 (gas phase species)) 및 CF2 (표면에 결합됨) 를 형성하도록 표면 활성 자리와 상호작용할 수 있는 분자 C3F6O 에 대한 것이다. 본 실례에서, 해리되지 않은 (undissociated) C3F6O 플루오로카본 함유 분자가 에칭 층에 노출되고, 이 분자의 CF2 부분만이 활성화된 자리들에 결합된다. 따라서, C3F6O 는 활성화된 자리들에 선택적으로 결합되는 플루오로카본 함유 분자를 생성하도록 활성 자리와 반응하는 보다 큰 플루오로카본 함유 분자이다.
다른 실시예들에서, 일부 해리된 플루오로카본 함유 분자들을 제공하도록, 저밀도 플라즈마가 인 시츄 또는 다운스트림에서 제공될 수 있다. 이러한 저밀도 플라즈마에서, 전자들은 가스들을 해리할 시에 덜 효율적이다. 명세서 및 청구항들에서, 저밀도 플라즈마는 cm3 당 107 내지 109 전자들의 전자 밀도로서 규정된다. 또한, 전자 온도는 4 eV보다 낮다. 일 실시예에서, 다운스트림 소스가 플루오로카본 함유 가스들을 웨이퍼로부터 사라지게 크랙킹 (cracking) 하는데 사용되어 (직접적인 플라즈마 종들보다 반응성이 낮은) 목표된 반응성을 갖는 플루오로카본 종들로의 재결합을 가능하게 한다. 예를 들어서, c- C4F8 다운스트림은 바람직한 CF2 및 C2F4 의 보다 큰 퍼센티지, 및 다른 플루오로카본 라디칼들의 낮은 퍼센티지를 생성할 수 있는데, 이러한 다른 플루오로카본 라디칼들은 비선택적 증착으로 향하는 경향을 가지므로 덜 바람직하다.
몇몇 실시예들에서, 활성화된 자리들에서 반응하는 해리되지 않은 플루오로카본 함유 분자들의 퍼센티지를 증가시키기 위해서, 이온 충격 이후에 그리고 에칭 층을 플루오로카본 함유 분자에 노출시키기 이전에, 플라즈마가 소화된다 (extinguished). 보다 바람직하게는, 활성화된 자리들에 도달한 플루오로카본 함유 분자들 대부분은 해리되지 않는다.
일 실시예에서, 플루오로카본 함유 분자들의 단일 모노레이어 (single monolayer) 가, 에칭 반응이 활성화되기 이전에, 에칭 층에 부착된다. 이러한 실시예는 각 사이클에서 에칭 층의 대응하는 두께만을 에칭할 수 있다. 에칭된 양은 에칭 반응(들)의 화학량론 (stoichiometry) 및 플루오로카본이 완전히 소모된 때에 에칭 반응이 중지한다는 제약사항에 의해서 규정되는 관계로 증착된 양과 비례한다. 다른 실시예들에서, 플루오로카본 함유 분자들의 몇개의 층들이, 가능하게는 표면 활성 자리들의 부착된 분자들 내의 새로운 활성 자리들로의 이동 (transfer) 및 추가 플루오로카본 함유 분자들의 이러한 새로운 활성 자리들로의 후속 부착으로 인해서, 에칭 층에 부착 또는 증착될 수 있다. 이러한 실시예들은 다시 화학량론 및 플루오로카본의 소모량에 의해서 규정되는, 에층 층의 대응하는 두께를 에칭할 것이다. 이 실시예들은, 플루오로카본 함유 분자의 2 개 이상의 분자 층이 증착될지라도 이러한 증착은 자기 정지성이어서 증착량이 한계 두께 (limiting thickness) 를 초과하지 않게 되도록, 이러한 증착의 자기 정지성인 측면을 제공한다. 자기-보존 (self-perpetuation) 분자적 활성 자리들의 소멸은, 분자들의 인접하는 체인들에서의 자리들의 쌍들이 종단된 링 구조를 반응 및 생성하기 때문에, 결국에는 발생하게 된다. 이로써, 플루오로카본 함유 분자들의 다수의 층들이 자기 정지성인 증착을 제공하면서 증착될 것이다. 증착된 플루오로카본 함유 분자들의 두께는 이온-활성화된 반응이 이후 단계에서 진행될 수 있도록 충분하게 작아야 한다. 이러한 두께의 특정 한계치는 충돌하는 이온들의 에너지, 종 형성 (speciation) 및 질량에 의존한다. 바람직하게는, 이러한 자기 정지성인 플루오로카본 함유 분자 증착은 6 nm보다 크지 않은 층을 증착한다. 보다 바람직하게는, 이러한 자기 정지성인 플루오로카본 함유 분자 증착은 3 nm보다 크지 않은 층을 증착한다.
다양한 실시예들에서, 플루오로카본 함유 분자는 수소, 질소 및/또는 산소와 같은 다른 원소적 성분들을 가질 수 있다. 이러한 성분들은 분자들의 표면 활성화된 자리들과의 반응성을 증가시키는데 유용할 수 있다. 플루오로카본 함유 분자의 탄소 성분은 패터닝된 마스크 또는 에칭 정지 층과 같은 다른 층보다 목표 에칭 층을 보다 선택적으로 에칭하게 에칭 선택도를 제공하는데 필요하다. 불소 성분은 목표 에칭 층 내의 실리콘의 에칭 제거 능력을 제공하는데 필요하다. 다른 실시예들에서, Ne, Xe, 또는 N2와 같은 다른 가스들이 에칭 단계 및 표면 활성화 단계에서 아르곤 대신에 사용될 수 있다. 바람직하게는, 이러한 충격 이온들은 증착을 유발하지 말아야 하며 표면 플루오로카본이 소모된 후에는 에칭 층을 많이 에칭하지는 않는다.
일반적으로, 상이한 피처들의 하단들 상에서의 플루오로카본 증착 두께들은 2:1보다 작게 차이가 나는데 반해서, 이 경우에 피처들의 종횡비들은 0.1 대 10 만큼 크게 서로 차이가 날 수 있다. 플루오로카본 증착의 자기 정지성인 측면은 보다 균일한 선택적 증착을 가능하게 한다.
위의 실시예에서, 플루오로카본과 에칭 층 간의 에칭 반응을 개시하기 위해서 에칭 층의 이온 충격을 제공하는 단계와 패터닝된 마스크에 의해서 노출된 에칭 층의 일부분들에서 활성화된 자리들을 생성하기 위해서 에칭 층의 이온 충격을 제공하는 단계는 서로 개별적인 단계들이었지만, 다른 실시예들에서, 이 단계들은 동일한 단계일 수 있으며, 에칭 반응 개시 및 활성 자리 생성을 순차적으로 및/또는 동시에 수행하도록 단일 이온 충격을 사용할 수 있다.
프로세스가 표면 활성 자리들을 생성하기에는 충분하지만 에칭 반응을 개시시키기에는 충분하지 않는 이온 충격으로 수행되면, 플루오로카본 함유 분자들의 순수한 원자 층 증착만이 이루어질 것이다. 이는 이온 활성화 단계가 에칭 반응을 개시시키지 않고서도 활성 자리들을 생성할 수 있는 경우에 적용된다.
플루오로카본 함유 분자들의 플라즈마가 플루오로카본 노출 단계 (116) 에서 사용되면, 플루오로카본 함유 분자들 중 일부가 해리되어서 플루오로카본 라디칼들을 형성하고 이 라디칼들은 활성화 자리들을 필요로 하지 않으면서 에칭 층의 표면들에 부착하게 되어서, 이러한 증착은 스스로 정지되지 않게 되며 덜 선택적이며 쉐이딩 효과들로 인해서 피처 폭에 보다 더 의존하게 될 것이다. 원자 층 에칭 반복 동안에, 피처들의 기하구조들로 인해서, 보다 폭이 작거나 보다 높은 종횡비를 갖는 피처에서보다 보다 폭이 크거나 보다 낮은 종횡비를 갖는 피처에서 보다 많은 에칭이 발생할 것이다.
본 발명은 몇몇 바람직한 실시예들의 차원에서 기술되었지만, 본 발명의 범위 내에 해당하는 변경, 치환, 및 다양한 대체 균등 사항들이 존재한다. 또한, 본 발명의 방법들 및 장치들을 구현하는 수많은 다른 방식들이 존재한다. 따라서, 다음의 첨부된 청구항들은 상기한 변경, 치환, 및 다양한 대체 균등 사항들을 본 발명의 진정한 사상 및 범위 내에 해당하는 것으로서 포함하도록 해석되어야 한다.

Claims (19)

  1. 패터닝된 마스크 아래에 배치된 에칭 층 내로 피처들을 에칭하기 위한 방법으로서,
    상기 방법은 적어도 3 개의 사이클들을 수행하는 단계를 포함하며,
    각 사이클은,
    플라즈마를 생성함으로써, 상기 패터닝된 마스크에 의해서 노출된 상기 에칭 층의 부분들에서 활성화된 자리들 (activated sites) 을 생성하도록 상기 에칭 층의 이온 충격 (ion bombardment) 을 제공하는 단계;
    상기 플라즈마를 소화하는 단계;
    상기 에칭 층을 복수의 플루오로카본 함유 분자들에 노출시켜서, 상기 복수의 플루오로카본 함유 분자들로 하여금 상기 활성화된 자리들에 선택적으로 결합되게 하는 단계로서, 상기 선택적 결합은 자기 정지성인 (self limiting), 상기 활성화된 자리들에 선택적으로 결합되게 하는 단계; 및
    상기 플루오로카본 함유 분자들과 상기 에칭 층 간의 에칭 반응을 개시하도록 상기 에칭 층의 이온 충격을 제공하는 단계로서, 상기 에칭 반응을 개시하도록 하는 상기 에칭 층의 이온 충격은 상기 에칭 층과 상기 플루오로카본 함유 분자로부터 형성된 휘발성 에칭 산물들의 형성을 유발하는, 상기 에칭 층의 이온 충격을 제공하는 단계를 포함하는,
    피처 에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭 층을 상기 복수의 플루오로카본 함유 분자들에 노출시킨 후에 그리고 상기 에칭 반응을 개시하도록 상기 에칭 층의 이온 충격을 제공하기 이전에, 결합되지 않은 플루오로카본 함유 분자들을 제거하는 단계를 더 포함하는,
    피처 에칭 방법.
  3. 제 1 항에 있어서,
    상기 복수의 플루오로카본 함유 분자들 중 상기 플루오로카본 함유 분자들은 가스로서 제공된 복수의 플루오로카본 함유 분자들 중 보다 큰 플루오로카본 함유 분자들의 일부이며,
    상기 보다 큰 플루오로카본 함유 분자들은 상기 활성화된 자리들에 선택적으로 결합되는 상기 플루오로카본 함유 분자들을 생성하도록 상기 활성화된 자리들과 반응하는,
    피처 에칭 방법.
  4. 패터닝된 마스크 아래에 배치된 에칭 층 내로 피처들을 에칭하기 위한 방법으로서,
    상기 방법은 적어도 하나의 사이클을 수행하는 단계를 포함하며,
    각 사이클은,
    상기 패터닝된 마스크에 의해서 노출된 상기 에칭 층의 부분들에서 활성화된 자리들을 생성하도록 상기 에칭 층의 이온 충격을 제공하는 단계;
    상기 에칭 층을 복수의 플루오로카본 함유 분자들에 노출시켜서 상기 복수의 플루오로카본 함유 분자들이 상기 활성화된 자리들에 선택적으로 결합되는 단계로서, 상기 선택적 결합은 자기 정지성인 (self limiting), 상기 활성화된 자리들에 선택적으로 결합되는 단계; 및
    상기 플루오로카본 함유 분자들과 상기 에칭 층 간의 에칭 반응을 개시하도록 상기 에칭 층의 이온 충격을 제공하는 단계를 포함하는,
    피처 에칭 방법.
  5. 제 4 항에 있어서,
    상기 에칭 반응을 개시하도록 하는 상기 에칭 층의 이온 충격은 상기 에칭 층과 상기 플루오로카본 함유 분자로부터 형성된 휘발성 에칭 산물들의 형성을 유발하는,
    피처 에칭 방법.
  6. 제 5 항에 있어서,
    상기 에칭 층을 상기 복수의 플루오로카본 함유 분자들에 노출시키는 것은 상기 에칭 층을 해리되지 않은 (undisassociated) 플루오로카본 함유 분자들에 노출시킴으로써 달성되는,
    피처 에칭 방법.
  7. 제 6 항에 있어서,
    상기 활성화된 자리들을 생성하도록 상기 에칭 층의 이온 충격을 제공하는 것은 플라즈마를 생성함으로써 달성되며,
    상기 방법은, 상기 활성화된 자리들을 생성하도록 상기 에칭 층의 이온 충격을 제공한 후에 그리고 상기 에칭 층을 상기 복수의 플루오로카본 함유 분자들에 노출시키기 이전에, 상기 플라즈마를 소화시키는 단계를 더 포함하는,
    피처 에칭 방법.
  8. 제 7 항에 있어서,
    상기 이온 충격은 아르곤 이온 충격을 제공하는,
    피처 에칭 방법.
  9. 제 7 항에 있어서,
    상기 에칭 층을 상기 복수의 플루오로카본 함유 분자들에 노출시킨 후에 그리고 상기 에칭 층의 이온 충격을 제공하기 이전에, 결합되지 않은 플루오로카본 함유 분자들을 제거하는 단계를 더 포함하는,
    피처 에칭 방법.
  10. 제 7 항에 있어서,
    상기 플루오로카본 함유 분자들은 1,3-헥사플루오로부타디엔 (1,3-hexafluorobutadiene) 을 포함하는,
    피처 에칭 방법.
  11. 제 7 항에 있어서,
    상기 활성화된 자리들의 생성은 표면 라디칼들을 생성하는,
    피처 에칭 방법.
  12. 제 7 항에 있어서,
    상기 플루오로카본 함유 분자들은 C3F6O (Hexafluoropropylene oxide) 을 포함하는,
    피처 에칭 방법.
  13. 제 4 항에 있어서,
    상기 플루오로카본 함유 분자들과 상기 에칭 층 간의 에칭 반응을 개시하도록 상기 에칭 층의 이온 충격을 제공하는 단계와 상기 패터닝된 마스크에 의해서 노출된 상기 에칭 층의 부분들에서 상기 활성화된 자리들을 생성하도록 상기 에칭 층의 이온 충격을 제공하는 단계는 개별적인 단계들인,
    피처 에칭 방법.
  14. 제 4 항에 있어서,
    상기 플루오로카본 함유 분자들과 상기 에칭 층 간의 에칭 반응을 개시하도록 상기 에칭 층의 이온 충격을 제공하는 단계와 상기 패터닝된 마스크에 의해서 노출된 상기 에칭 층의 부분들에서 상기 활성화된 자리들을 생성하도록 상기 에칭 층의 이온 충격을 제공하는 단계는 동일한 단계인,
    피처 에칭 방법.
  15. 제 4 항에 있어서,
    상기 적어도 하나의 사이클은 적어도 10 개의 사이클들인,
    피처 에칭 방법.
  16. 제 4 항에 있어서,
    상기 에칭 층을 상기 복수의 플루오로카본 함유 분자들에 노출시키는 것은 상기 에칭 층을 해리되지 않은 (undisassociated) 플루오로카본 함유 분자들에 노출시킴으로써 달성되는,
    피처 에칭 방법.
  17. 제 4 항에 있어서,
    상기 활성화된 자리들을 생성하도록 상기 에칭 층의 이온 충격을 제공하는 것은 플라즈마를 생성함으로써 달성되며,
    상기 방법은, 상기 활성화된 자리들을 생성하도록 상기 에칭 층의 이온 충격을 제공한 후에 그리고 상기 에칭 층을 상기 복수의 플루오로카본 함유 분자들에 노출시키기 이전에, 상기 플라즈마를 소화시키는 단계를 더 포함하는,
    피처 에칭 방법.
  18. 제 4 항에 있어서,
    상기 에칭 층을 상기 복수의 플루오로카본 함유 분자들에 노출시킨 후에 그리고 상기 에칭 층의 이온 충격을 제공하기 이전에, 결합되지 않은 플루오로카본 함유 분자들을 제거하는 단계를 더 포함하는,
    피처 에칭 방법.
  19. 제 4 항에 있어서,
    상기 복수의 플루오로카본 함유 분자들 중 상기 플루오로카본 함유 분자들은 가스로서 제공된 복수의 플루오로카본 함유 분자들 중 보다 큰 플루오로카본 함유 분자들의 일부이며,
    상기 보다 큰 플루오로카본 함유 분자들은 상기 활성화된 자리들에 선택적으로 결합되는 상기 플루오로카본 함유 분자들을 생성하도록 상기 활성화된 자리들과 반응하는,
    피처 에칭 방법.
KR20140086273A 2013-07-09 2014-07-09 플루오로카본 기반 종횡비 무관 에칭 KR20150006805A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/937,930 US9257300B2 (en) 2013-07-09 2013-07-09 Fluorocarbon based aspect-ratio independent etching
US13/937,930 2013-07-09

Publications (1)

Publication Number Publication Date
KR20150006805A true KR20150006805A (ko) 2015-01-19

Family

ID=52277416

Family Applications (1)

Application Number Title Priority Date Filing Date
KR20140086273A KR20150006805A (ko) 2013-07-09 2014-07-09 플루오로카본 기반 종횡비 무관 에칭

Country Status (4)

Country Link
US (1) US9257300B2 (ko)
KR (1) KR20150006805A (ko)
SG (1) SG10201403735PA (ko)
TW (1) TW201523726A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190107159A (ko) * 2017-02-06 2019-09-18 램 리써치 코포레이션 유전체 컨택트 에칭
KR20200007976A (ko) * 2017-06-12 2020-01-22 도쿄엘렉트론가부시키가이샤 로우 k 유전체 에칭에서 반응성 이온 에칭 지연을 감소시키기 위한 방법

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016157793A (ja) 2015-02-24 2016-09-01 東京エレクトロン株式会社 エッチング方法
JP2017050413A (ja) * 2015-09-02 2017-03-09 日本ゼオン株式会社 プラズマエッチング方法
GB201515622D0 (en) 2015-09-03 2015-10-21 Oxford Instr Nanotechnology Tools Ltd Cyclical plasma etching
US10402207B2 (en) * 2016-06-16 2019-09-03 Quanta Computer Inc. Virtual chassis management controller
US9997366B2 (en) * 2016-10-19 2018-06-12 Lam Research Corporation Silicon oxide silicon nitride stack ion-assisted etch
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US10361091B2 (en) 2017-05-31 2019-07-23 Lam Research Corporation Porous low-k dielectric etch
JP6987172B2 (ja) * 2017-11-28 2021-12-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
US11335539B2 (en) * 2018-09-28 2022-05-17 Lam Research Corporation Systems and methods for optimizing power delivery to an electrode of a plasma chamber
JP7296277B2 (ja) * 2019-08-22 2023-06-22 東京エレクトロン株式会社 エッチングする方法、デバイス製造方法、及びプラズマ処理装置

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3084497B2 (ja) 1992-03-25 2000-09-04 東京エレクトロン株式会社 SiO2膜のエッチング方法
US5888591A (en) * 1996-05-06 1999-03-30 Massachusetts Institute Of Technology Chemical vapor deposition of fluorocarbon polymer thin films
US6911067B2 (en) 2003-01-10 2005-06-28 Blue29, Llc Solution composition and method for electroless deposition of coatings free of alkali metals
US7297190B1 (en) 2006-06-28 2007-11-20 Lam Research Corporation Plating solutions for electroless deposition of copper
WO2008020267A2 (en) * 2006-08-16 2008-02-21 Freescale Semiconductor, Inc. Etch method in the manufacture of an integrated circuit

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190107159A (ko) * 2017-02-06 2019-09-18 램 리써치 코포레이션 유전체 컨택트 에칭
KR20200007976A (ko) * 2017-06-12 2020-01-22 도쿄엘렉트론가부시키가이샤 로우 k 유전체 에칭에서 반응성 이온 에칭 지연을 감소시키기 위한 방법

Also Published As

Publication number Publication date
TW201523726A (zh) 2015-06-16
US9257300B2 (en) 2016-02-09
SG10201403735PA (en) 2015-02-27
US20150017809A1 (en) 2015-01-15

Similar Documents

Publication Publication Date Title
KR20150006805A (ko) 플루오로카본 기반 종횡비 무관 에칭
TWI758404B (zh) 氫活化原子層蝕刻
TWI774742B (zh) 矽氮化物之原子層蝕刻
US20190189447A1 (en) Method for forming square spacers
CN110998804A (zh) 使用循环钝化和蚀刻的高深宽比选择性横向蚀刻
TWI596669B (zh) 鎢蝕刻之方法
KR102403856B1 (ko) 에칭 층을 에칭하기 위한 방법
JP6298814B2 (ja) プラズマを用いて物体を処理する装置
TW201409562A (zh) 以光阻上之電漿預蝕刻處理形成特徵部的方法及設備
JPH03261138A (ja) 半導体装置のクリーニング方法およびクリーニング装置
US10541144B2 (en) Self-assembled monolayers as an etchant in atomic layer etching
JP2022510266A (ja) 原子層堆積または化学蒸着のための方法および装置
TWI806871B (zh) 多孔低介電常數介電蝕刻
TW201947635A (zh) 圖案化層之循環式蝕刻的方法
TWI598954B (zh) 具有受控擺動之蝕刻用方法
KR20140147133A (ko) 비 휘발성 재료의 층별 에칭
US20220301853A1 (en) Method for etching features using a targeted deposition for selective passivation
TWI851670B (zh) 蝕刻層的蝕刻方法
US20230298869A1 (en) Subtractive copper etch
Nguyen Plasma Science and Technology Division Room On Demand-Session PS-Contributed On Demand Plasma Science and Technology Contributed On Demand Session

Legal Events

Date Code Title Description
WITN Withdrawal due to no request for examination