KR20140062412A - 블록-공중합체를 이용하는 에칭 방법 - Google Patents

블록-공중합체를 이용하는 에칭 방법 Download PDF

Info

Publication number
KR20140062412A
KR20140062412A KR1020130137046A KR20130137046A KR20140062412A KR 20140062412 A KR20140062412 A KR 20140062412A KR 1020130137046 A KR1020130137046 A KR 1020130137046A KR 20130137046 A KR20130137046 A KR 20130137046A KR 20140062412 A KR20140062412 A KR 20140062412A
Authority
KR
South Korea
Prior art keywords
block
copolymer
layer
pattern
etching
Prior art date
Application number
KR1020130137046A
Other languages
English (en)
Other versions
KR102047140B1 (ko
Inventor
분 테익 찬
시게루 타하라
Original Assignee
아이엠이씨 브이제트더블유
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 아이엠이씨 브이제트더블유, 도쿄엘렉트론가부시키가이샤 filed Critical 아이엠이씨 브이제트더블유
Publication of KR20140062412A publication Critical patent/KR20140062412A/ko
Application granted granted Critical
Publication of KR102047140B1 publication Critical patent/KR102047140B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/20Changing the shape of the active layer in the devices, e.g. patterning
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K71/00Manufacture or treatment specially adapted for the organic devices covered by this subclass
    • H10K71/20Changing the shape of the active layer in the devices, e.g. patterning
    • H10K71/231Changing the shape of the active layer in the devices, e.g. patterning by etching of existing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

중성 층(22") 상의 자기-구성 블록-공중합체 층(21)을 획득하는 단계(12)(상기 중성 층(22")은 기판(23) 위에 놓이고, 상기 자기-구성 블록-공중합체 층(21)은 서로 다른 내에칭성(etching resistance)을 갖는 적어도 2개의 중합체 구성요소(24, 25)를 포함하고, 상기 자기-구성 블록-공중합체 층(21)은 적어도 2개의 중합체 구성요소(24, 25)의 마이크로-상 분리(micro-phase separation)에 의해 형성되는 공중합체 패턴 구조물을 더 포함함),
자기-구성 블록-공중합체 층(21)의 제 1 중합체를 선택적으로 에칭하여, 제 2 중합체 구성요소(24)를 남겨두는 단계(14),
제 2 중합체 구성요소(24)를 마스크로서 이용해 플라스마 에칭(16)을 중성 층(22")에 적용하는 단계(상기 플라스마 에칭(16)은 비활성 가스 및 H2를 포함함)
를 포함하는 리소그래피 방법.

Description

블록-공중합체를 이용하는 에칭 방법{Etching method using block-copolymers}
본 발명은 리소그래피의 분야에 관한 것이며, 특히 나노리소그래피(nanolithography), 가령, 반도체 집적 회로 또는 나노전기화학적 시스템의 생산을 위한 나노리소그래피의 분야에 관한 것이다. 더 구체적으로, 자기-조립(self-assemble)되는 블록-공중합체(block-copolymer) 물질 아래에 위치하는 중합체 구조물(polymer structure)(선택사항으로서, 기판까지)을 에칭하기 위한 방법과 관련된다.
반도체 제조에서, 집적 회로 및 나노전기화학적 시스템에서 더 높은 부품 밀도와 더 작은, 가령, 나노규모(nanoscale)의 구조물을 얻을 필요성이 존재한다. 반도체 장치를 생산하기 위한 리소그래피 기법은 일반적으로 소자 구조물의 패턴을 레지스트 층(resist layer)에 적용시키는 단계, 및 상기 레지스트 층의 패턴에 의해 노출되는 기판을 선택적으로 에칭 제거하는 단계를 포함한다. 추가 공정 단계에서, 그 밖의 다른 물질이 에칭 영역에 증착되어, 예를 들어, 집적 회로를 형성할 수 있다.
종래의 포토리소그래피에서, 패턴 마스크가 광에 의해 감광성(photo-sensitive) 중합체 레지스트 상으로 투사된다. 그러나 이러한 방식의 분해능은 회절에 의해 제한된다. 대안적으로, 또한 패턴은, 가령 전자 빔 리소그래피, 이온 빔 리소그래피, 또는 x-선 리소그래피에서, 서로 다른 복사 품질(radiative quality)의 에너지 파(energy wave)에 의해 적합한 레지스트로 전사될 수 있다. 그러나 이러한 리소그래피 방법을 이용하는 경우, 허용될 수 있는 비용으로, 그리고 산업적으로 허용될 수 있는 처리율로 나노-규모의 구조물을 생산하는 것이 여전히 어렵다. 블록-공중합체의 방향성 자기-조립(DSA: Directed Self-Assembly)이 나노리소그래피의 떠오르는 대안이다. 블록-공중합체는 공유 결합(covalent bond)에 의해 상호 연결된 화학적으로 서로 다른 중합체 블록들로 구성된다. 어닐링(annealing) 후 주기적 나노구조물 내 균일한 도메인들이 형성되도록, 화학적으로 서로 다른 중합체 블록들은 이종 중합체 체인들 간 반발(repulsion)에 의해 구동되는 마이크로상 분리(microphase separation)를 겪는다. 예를 들어, 이러한 주기적 구조물은 육각 조밀(hexagonally packed) 원통, 구(sphere), 자이로이드(gyroid)형 구조물 또는 라멜라(lamellae)를 포함할 수 있다. 형성되는 구조물의 유형은 서로 다른 중합체 블록 길이의 비를 조절(tuning)함으로써 추가로 제어 가능하다. 그러나 배향 제어 기법에 의해 구속되지 않을 때, 블록-공중합체 물질은 랜덤 배향(random orientation) 및 형편없는 장거리 규칙성(long-range order)을 특징으로 할 수 있다. 이러한 기법, 가령, 그래포에피택시(graphoepitaxy) 또는 화학적 에피택시가 블록-공중합체 물질 내 도메인의 형성을 선택적으로 지시한다. 하나의 중합체 유형의 추후의 선택적 제거를 통해, 기저 기판 상의 레지스트 층으로서 사용될 수 있는 갭(gap)의 패터닝된 구조물이 형성되어, 따라서 5nm 내지 50nm의 규모의 특징부 패터닝을 가능하게 한다.
DSA에서, 프리-패턴(pre-pattern)이 기판 상에 적용되어, 블록-공중합체 물질이 그 위에 도포될 때 이의 배향을 지시할 수 있다. 이러한 프리-패턴은 빈도 체배(frequency multiplication)를 이루기 위해 사용될 수 있는데, 가령, 프리-패턴보다 더 높은 공간 빈도의 크로스-바 구조물 또는 라인 패턴을 생성함으로써, 최종 인쇄되는 구조물의 피치(pitch)를 증가시킬 수 있다. 따라서 14nm보다 작은 패턴 특징부를 갖는 DAS에 의해 향상된 규모-축소 패터닝이 얻어질 수 있다. 덧붙여, DSA는, 가령, 프리-패턴을 축소(shrink) 및 교정함으로써, 원본 프린트 내 결함을 보수하고 균일성을 증가시키도록 사용될 수 있다. 예를 들어, EUV 리소그래피와 결합하여, 임계 치수(CD: critical dimension)의 국소 변동으로 인한 한계점이 극복될 수 있으며, 이는 예를 들어, 작은 컨택트 특징부를 제작하기 위해 바람직할 수 있다.
일반적으로 솔루션이 하나의 중합체 유형의 선택적 제거를 위해 존재하더라도, 아래 놓이는 층을 패터닝(및 선택사항으로서, 기판을 패터닝)하기 위한 레지스트 층으로서 나머지 패터닝된 구조물을 사용하는 것은 도전과제로 남아 있다. 일반적으로, 아래 놓이는 층을 관통하는 에칭(및 선택사항으로서 기판의 에칭)을 가능하게 하는 에칭법은 잔여 중합체 유형까지도 손상시키고, 따라서 아래 놓인 층(및 선택사항으로서 기판)에 왜곡된 패턴을 발생시킨다. 따라서 이러한 한계를 극복하기 위한 새로운 에칭 방법이 필요하다.
자기-조립되는 블록-공중합체 물질 아래의 층의 우수한 건식 에칭(그리고 선택사항으로서, 기판의 에칭)을 제공하는 것이 본 발명의 목적이다.
본 발명의 실시예의 하나의 이점은, 잔여 중합체 유형의 에칭이 제한되고, 따라서 이에 손상이 제한될 수 있다는 것이다.
본 발명의 실시예의 하나의 이점은, 잔여 중합체 유형으로 구성된 패턴이,, 아래 위치하는 층과 선택사항으로서 기판에 낮은 왜곡률을 갖고 이러한 패턴을 전사하기 위한 마스크로서 기능할 수 있다는 것이다.
첫 번째 양태에서, 본 발명은 블록-공중합체 리소그래피 방법과 관련되며, 상기 방법은 다음의 단계들을 포함한다:
- 중성 층 상에서 자기-구성 블록-공중합체 층을 획득하는 단계(상기 중성 층은 기판 위에 위치하고, 자기-구성 블록-공중합체 층은 서로 다른 내에칭성을 갖는 적어도 2개의 중합체 구성요소를 포함하며, 자기-구성 블록-공중합체 층은 적어도 2개의 중합체 구성요소의 마이크로-상 분리에 의해 형성되는 공중합체 패턴 구조물을 더 포함함),
- 자기 구성 블록-공중합체 층의 제 1 중합체 구성요소를 선택적으로 에칭함으로써, 제 2 중합체 구성요소를 남겨두는 단계,
- 제 2 중합체 구성요소를 마스크로서 이용하여 플라스마 에칭을 중성 층에 적용시키는 단계(여기서 플라스마 에칭은 비활성 가스 및 H2를 포함함).
두 번째 양태에서, 본 발명은 부분 제작된 집적 회로와 관련되며, 상기 집적 회로는 중성 층 상에 제공되는 중합체 패턴 구조물을 포함하고, 상기 중성 층은 기판 위에 위치하며, 상기 중합체 패턴 구조물은 블록-공중합체이며, 여기서 적어도 하나의 중합체 구성요소는 에칭 삭제되었다. 상기 중합체 패턴은 50nm 미만, 바람직하게는 20nm 미만, 더 바람직하게는 16nm 미만의 반 피치(half pitch)를 가지며, 상기 중성 층은 아래에 위치하는 기판을 노출시키도록 중합체 패턴을 마스크로서 이용해 상기 중성 층을 에칭함으로써 획득 가능한 패턴을 가진다.
도 1은 본 발명의 실시예에 따르는 예시적 방법을 나타내는 흐름도이다.
도 2는 본 발명의 실시예에 따르는 방법에서 사용되기 위한 중성 층 상의 자기-조립된 2-블록 공중합체 층을 개략적으로 도시한다.
도 3은 본 발명의 실시예에 따르는 방법을 이용해 하나의 중합체 구성요소를 에칭 삭제한 후 및 중성 층을 에칭하기 전의 자기-조립된 2-블록 공중합체 층을 개략적으로 도시한다.
도 4는 2-블록 공중합체 층에서 형성된 패턴의 중성 층으로의 전사를 도시하는 SEM 단면 사진을 도시한다.
도면은 개략적인 것에 불과하며 비-제한적이다. 도면에서, 설명 목적으로 요소들 중 일부의 크기가 과장될 수 있고, 실측 비율로 그려지지 않을 수 있다.
특허청구범위의 임의의 참조 번호가 발명의 범위를 제한하는 것으로 해석되어서는 안된다.
서로 다른 도면에서, 동일한 참조 번호가 동일하거나 유사한 요소를 참조한다.
본 발명은 특정 실시예를 참조하고 특정 도면을 참조하여 기재될 것이지만, 본 발명은 오직 특허청구범위에 의해서만 한정된다. 설명되는 도면은 개념도에 불과하며 비제한적이다. 도면에서, 일부 요소들의 크기는 과장될 수 있고, 설명 목적으로 실측 비율로 그려지지 않았다. 치수 및 상대 치수가 본 발명의 실시에 대한 실제 축소에 대응하지 않는다.
덧붙여, 상세한 설명과 특허청구범위에서의 제 1, 제 2 및 이와 유사한 용어들은 유사한 요소들을 구별하기 위해 사용되며, 반드시 시간, 공간, 또는 등급, 또는 그 밖의 다른 임의의 순서를 기재하기 위한 것이 아니다. 이렇게 사용되는 용어는 적절한 환경에서 상호 교환 가능하게 사용되고, 여기에 기재되는 본 발명의 실시예는 본원에 기재되거나 설명되는 것과 다른 순서로 동작할 수 있음을 이해해야 한다.
덧붙여, 상세한 설명과 특허청구범위에서의 상부, 아래, 및 이와 유사한 용어들은 기재를 위해 사용되며, 반드시 상대적 위치를 설명하는 것은 아니다. 이렇게 사용된 용어는 적절한 환경에서 상호 교환 가능하게 사용되고, 본원에 기재된 본 발명의 실시예는 기재 또는 설명된 것과 다른 배향으로 동작할 수 있음을 이해해야 한다.
"~를 포함하는"이라는 용어는 특허청구범위에서 사용될 때, 앞에 나열되는 수단들에 제한되는 것으로 해석되어서는 안 되며, 그 밖의 다른 요소나 단계들을 배제하지 않는다. 따라서 서술된 특징부, 정수, 단계, 또는 구성요소의 존재를 특정하는 것으로 해석되지만, 하나 이상의 그 밖의 다른 특징부, 정수, 단계, 또는 구성요소, 또는 이들의 그룹의 존재 또는 추가를 배제하지 않는다. 따라서, "수단 A 및 B를 포함하는 장치"라는 표현의 범위는 단지 구성요소 A와 B만으로만 구성된 장치에 국한되지 않는다. 본 발명과 관련된 장치의 구성요소가 A 및 B라는 것이다.
본 명세서 전체에 걸쳐 "하나의 실시예" 또는 "실시예"라는 언급은 실시예와 관련된 구체적 특징부, 구조물 또는 특성이 본 발명의 적어도 하나의 실시예에 포함됨을 의미한다. 따라서 본 명세서의 다양한 위치에서 "하나의 실시예에서" 또는 "실시예에서"라는 구문의 등장이 반드시 모두 동일한 실시예를 언급하는 것은 아니다. 덧붙여, 하나 이상의 실시예에서, 해당 분야의 통상의 기술자에게 자명할 바와 같이, 특정 특징부, 구조물 또는 특징들이 임의의 적합한 방식으로 결합될 수 있다.
마찬가지로, 본 발명의 예시적 실시예의 기재에서, 발명을 간결화하고, 다양한 발명의 양태 중 하나 이상의 이해를 돕기 위해, 본 발명의 다양한 특징부들은 하나의 단일 실시예, 도면, 또는 이의 설명에서 다 함께 그룹지어진다. 그러나 이러한 발명의 방법은 청구된 발명이 각각의 청구항에 명시적으로 언급된 것 이상의 특징을 필요로 한다는 의도로 해석되지는 않는다. 오히려, 이하의 특허청구범위에서 반영되는 것처럼, 본 발명의 양태가 이하에서 개시되는 하나의 단일 실시예의 모든 특징들보다 적은 특징으로 구성된다. 따라서 상세한 설명 후의 특허청구범위는 이러한 상세한 설명에 포함되며, 각각의 청구항은 본 발명의 개별 실시예로서 독립적으로 존재한다.
덧붙여, 본원에 기재된 일부 실시예가 그 밖의 다른 실시예에 포함된 다른 특징을 포함하지만, 해당 분야의 통상의 기술자가 이해하는 바와 같이, 서로 다른 실시예의 특징들의 조합이 본 발명의 범위 내에 있고, 서로 다른 실시예를 형성함을 의미한다. 예를 들어, 이하의 특허청구범위에서, 청구되는 실시예들 중 일부가 임의의 조합으로 사용될 수 있다.
본원에 제공된 기재에서, 많은 특정 세부사항이 제공되지 않는다. 그러나 본 발명의 실시예는 이들 특정 세부사항 없이 실시될 수 있음이 이해되어야 한다. 또 다른 경우, 공지된 방법, 구조, 및 기법은 본 명세서의 이해를 흐리지 않기 위해 구체적으로 기재되지 않았다.
본 발명의 실시예에서, "블록-공중합체(block-copolymer)"가 언급되며, 둘 이상의 화학적으로 서로 다른 중합체 블록들, 가령, 2-블록(di-block), 3-블록(tri-block), 성형(star), 코움(comb), 또는 그 밖의 다른 제어된 구조를 갖는 중합체가 언급된다. 일반적으로 블록은 서로 다른 화학적 속성, 가령, 친수성(hydrophilicity) 또는 소수성(hydrophobicity)의 정도를 가질 수 있다. 일반적으로 블록들은 가령, 개별적 마이크로-상 도메인의 형성을 가능하게 하는 온도 범위에 걸쳐 상호 비혼화성(immiscible) 또는 부분적으로만 혼화성일 수 있으며, 따라서 자기 조립(self-assembly)을 가능하게 한다. 상기 자기 조립은 서로 다른 중합체 블록들 간 화학적 차이, 가령, 친수성/소수성 불균형을 기초로 할 수 있다. 이러한 블록-공중합체는 해당 분야에 공지된 방법, 가령, 원자 이동 라디칼 중합법(atom transfer radical polymerization), 가역적 첨가 분절 연쇄 이동 중합법(reversible addition fragmentation chain transfer polymerization), 니트록사이드-매개 중합법(nitroxide-mediated polymerization), 붕소-매개 중합법(boron-mediated polymerization), 또는 촉매 연쇄 이동 중합법(catalytic chain transfer polymerization)에 의해 획득될 수 있다.
본 발명의 실시예에서, "어닐링(annealing)"이 언급되는 경우, 블록-공중합체 물질에서의 마이크로-상 분리에 의해 구조물의 자기-조립이 가능해지는 프로세스가 참조된다.
본 발명의 실시예에서, "블록-공중합체 리소그래피"가 언급되는 경우, 하나의 블록-공중합체 내 중합체 구성요소의 선택적 에칭으로부터 획득되는 마스크를 사용하는 리소그래피 방법이 언급된다.
본 발명은 블록-공중합체 리소그래피에 대한 방법과 관련되며, 상기 방법은:
- 중성 층(neutral layer) 상에 위치하는 자기-구성(self-organizing) 블록-공중합체를 획득하는 단계(상기 자기-구성 블록-공중합체 층은 서로 다른 내에칭성(etching resistance)을 갖는 적어도 2개의 중합체 구성요소를 포함하고, 상기 자기-구성 블록-공중합체 층은 적어도 2개의 중합체 구성요소의 마이크로-상 분리에 의해 형성되는 공중합체 패턴 구조를 포함함),
- 자기-구성 블록-공중합체 층의 제 1 중합체 구성요소를 선택적으로 에칭하여, 제 2 중합체 구성요소를 남겨두는 단계,
- 제 2 중합체 구성요소를 마스크로서 이용해, 플라스마 에칭을 상기 중성 층에 인가하는 단계(중성 층에 인가되는 플라스마 에칭은 비활성 가스 및 H2(가령, Ar/H2)를 포함함)
를 포함한다.
실시예에서, 자기-구성 블록-공중합체 층은 프리-마스크 패턴(pre-mask pattern) 및 평탄화 중성 층(NUL: planarizing neutral layer) 위에 놓이며 이들과 접촉할 수 있다. 기재 전체에 걸쳐, 중성 층 및 평탄화 중성 층은 동일한 것을 나타내도록 사용된다. 실시예에서, 중성 층은 기판 상에 증착된 물질의 층이고, 따라서 기판과 자기-구성 블록-공중합체 층 사이에 위치하게 된다. 프리-마스크 패턴(가령, 리지(ridge)와 밸리(valley)를 갖는 패턴)이 기판 상에 제공될 때, 상기 중성 층은 상기 밸리를 충전(fill)할 수 있고, 프리-마스크 패턴을 평탄화하여, 자기-구성 블록-공중합체의 성장을 위해 평편한 플랫폼이 제안되도록 할 수 있다. 바람직하게는, 이러한 편평한 플랫폼의 상부 표면이 프리-마스크 패턴의 상부 표면 및 이를 둘러싸는 중성 층 영역으로 구성된다. 프리-마스크 패턴의 상부 표면은 자기-구성 블록-공중합체의 개선된 자기-구성을 가능하게 한다. 평탄화 중성 층은 블록-공중합체 층의 자기-구성을 가능하게 하는 어떠한 속성이라도 가질 수 있다. 바람직한 실시예가 이하에서 기재될 것이다. 자기-구성 블록-공중합체 층은 서로 다른 내에칭성(etching resistance)을 갖는 적어도 2개의 중합체 구성요소를 포함한다. 자기-구성 블록-공중합체 층은 적어도 2개의 중합체 구성요소의 마이크로-상 분리에 의해 형성되는 공중합체 패턴 구조를 더 포함한다. 상기 방법은 제 1 중합체 상을 선택저긍로 제거하기 위해 에칭 공정, 가령, 플라스마 에칭 공정을 적용하는 단계를 포함한다.
도 1을 참조하면, 본 발명의 실시예에 따라, 가령, 프리-마스크 패턴 상의 블록-공중합체 층 및 이 위에 제공되는 평탄화 중성 층을 갖는 기판을 에칭하기 위한 블록-공중합체 리소그래피에 대한 예시적 방법(100)이 도시된다. 이러한 방법은, 예를 들어, 트랜지스터 크기 및 여백(spacing)을 감소시키기 위한 수단 및/또는 소형 특징부들 내 결함을 정정하기 위한 수단으로서 집적 회로 분야에서 유용할 수 있다. 따라서 본 발명의 실시예에 따르는 방법을 적용함으로써, 100nm 미만의 특징부, 가령, 50nm 미만의 특징부, 가령, 20nm 미만의 특징부, 가령, 16nm 미만의 특징부 또는 14nm의 특징부 또는 10nm 미만의 특징부, 가령, 5nm의 특징부가 집적 회로에서 얻어질 수 있다.
이 방법(10)은 프리-마스크 패턴을 포함하는 기판 상의 자기-구성 블록-공중합체 층과 상기 기판 위에 위치하는 평탄화 중성 층을 획득하는 단계(12)를 포함한다. 실시예에서, 기판은 반도체 기판일 수 있다. 반도체 기판은 실리콘 기판 또는 실리콘 다이옥사이드 기판일 수 있다. 이 기판은 또한 적층 구조물(layered structure), 가령, 실리콘-절연체-실리콘 구조물이거나, 및/또는 이전 공정 단계에 의해 제공된 구조물을 가질 수 있다. 대안적으로, 기판은 하드 마스크 물질, 가령, 실리콘 니트라이드, 실리콘 옥시니트라이드, 실리콘 디옥사이드 또는 실리콘 옥시카바이드, 스핀 온 글래스(spin on glass)(SOG/SOC) 또는 이들의 조합으로 구성된 상부 층을 포함할 수 있다.
자기-구성 블록-공중합체 층은 서로 다른 내에칭성(etching resistance)을 갖는 적어도 2개의 중합체 구성요소를 포함한다. 예를 들어, 각자의 체인 말단에서 공유 결합으로 연결된 둘 이상의 화학적으로 서로 다른 동종중합체(homopolymer) 블록들로 구성된 중합체 조성물이, 가령, 브러싱(bushing), 압연(rolling), 분사(spraying), 잉크젯 도포 또는 스핀-코팅에 의해, 기판 상으로 코팅될 수 있다. 중합체 조성물은 첨가제, 가령, 접착제, 접착 촉진제 및/또는 용매를 더 포함할 수 있다. 예를 들어, 자기-구성 블록-공중합체 층은, 서로 공유 결합된 두 가지 유형의 중합체 체인을 포함하는 2-블록(di-block) 공중합체 조성물로부터 형성될 수 있다. 예를 들어, 이러한 2-블록 공중합체는 폴리스티렌-폴리이소부텐, 폴리스티렌-이소프렌, 폴리메틸실록산-폴리이소부텐, 폴리스티렌-폴리에틸렌 옥사이드, 폴리스티렌-폴리프로필렌 옥사이드, 폴리에틸렌옥사이드-폴리(시아노비페닐록시)헥실 메타크릴레이트, 폴리스티렌-폴리메타크릴산, 폴리에틸렌 옥사이드-폴리비닐피리딘, 폴리스티렌-폴리비닐피리딘, 또는 폴리이소프렌-폴리히드록시스티렌을 포함할 수 있다. 바람직하게는, 이러한 2-블록 공중합체는 폴리메틸 메타크릴레이트(PMMA) 체인에 공유 결합된 폴리스티렌(PS) 체인을 포함할 수 있고, 여기서, 폴리스티렌 및 PMMA는 아르곤 플라스마에 의한 건식 에칭을 위한 바람직한 내에칭율(etch resistance ratio)을 가진다. 대안적으로, 자기-구성 블록-공중합체 층은 3-블록 공중합체 조성물로부터 형성될 수 있으며, 예를 들어, 여기서 두 가지 유형의 중합체 체인 A, B가 A-B-A 형태로 결합되거나, 세 가지 유형의 중합체 체인 A, B, C가 A-B-C 형태로 결합된다. 하나의 실시예에서, 상기 중합체 구성요소(가령, 상기 제 2 중합체 구성요소) 중 하나가 폴리스티렌일 수 있다. 이는, 본 발명에 따르는 중성 층의 플라스마 에칭 방법이, 다른 구성요소, 가령, 에칭되기 어려운 것으로 악명 높은 PMMA에 대해서는 우수한 에칭 능력을 갖는 반면에, 폴리스티렌은 의외로 많이 에칭하지 않기 때문에(및/또는 에칭 후 폴리스티렌 구조물을 많이 변형시키지 않기 때문에), 바람직하다. 이는 잘 형성된(well-defined) 마스크를 형성하도록 유지될 수 있는 제 2 구성요소를 많이 에칭 또는 변형시키지 않고, 하나의 구성요소를 선택적으로 에칭하는 것을 가능하게 한다.
하나의 실시예에서, 상기 중합체 구성요소 중 하나(가령, 상기 제 1 중합체 구성요소)가 PMMA일 수 있다.
자기-구성 블록-공중합체 층이 적어도 2개의 중합체 구성요소의 마이크로-상 분리에 의해 형성된 공중합체 패턴 구조물을 가진다. 예를 들어, 기판 상으로 코팅되는 중합체 조성물이 가령, 유리 전이 온도 또는 녹는점보다 높은 온도에서 어닐링될 수 있으며, 어닐링 동안, 중합체 조성물의 구성요소는 구조화된 도메인으로 자기-조립될 수 있음으로써, 공중합체 패턴 구조물이 형성된다. 예를 들어, 열 어닐링은 조성물의 적어도 하나의 중합체 구성요소의 유리 전이 온도 또는 녹는점의 최저값보다 높은 온도에서 사용될 수 있다. 어닐링 시간은 자기-조립을 가능하게 하도록 선택되고, 0.01시간 내지 300시간, 바람직하게는, 0.1시간 내지 24시간일 수 있다. 어닐링 전에, (가령, 스핀-캐스팅(spin-casting)에 의한) 블록-공중합체 증착 후, 임의의 잔여 용매를 제거하기 위해 프리-베이킹(pre-bake)이 수행될 수 있다. PS-PMMA 블록 공중합체의 경우, 예를 들어, 이 프리-베이킹은 30-120초(가령, 60초) 동안 70℃-130℃(가령, 100℃)의 온도에서 수행될 수 있다. 기판에 걸쳐 균일한 필름을 도출하는 프리-베이킹 조건을 선택하는 것이 바람직하다. PS-PMMA 블록 공중합체의 경우, 블록-공중합체의 실제 어닐링은, 예를 들어, 오븐 내 비활성 가스(가령, N2) 분위기에서, 100초 내지 500초(가령, 300초)의 시간 길이 동안, 200℃-300℃ (가령, 250℃)의 온도에서 수행될 수 있다.
블록-공중합체 내 각각의 블록의 적절한 총 체인 길이 및 분자량을 선택함으로써, 가령, 적절한 플로리 허긴스(Flory Huggins) 파라미터를 선택함으로써, 종래 기술에 알려져 있는 바와 같이, 가령, 블록-공중합체 층 내에서 라멜라(lamellae), 원통형, 또는 구형을 생성하도록, 이 공중합체 패턴 구조물의 형상(morphology)이 조정될 수 있다. 가령, 블록의 말단 간 거리(end-to-end distance)가 의도된 패턴의 가장 작은 특징부에 비례하도록 블록-공중합체의 분자량이 선택될 수 있다. 바람직한 분자량은, 예를 들어, 200 내지 1000000 g/mol, 가령, 2000 내지 100000 g/mol일 수 있다.
도 1 및 도 2를 참조하면, 본 발명에 따르는 실시예에서, 방법(10)은 프리-마스크 패턴(22') 및 평탄화 중성 층(22")을 기판(23) 상에 제공하는 단계를 포함한다. 이러한 프리-마스크 패턴(22')은 공중합체 패턴 구조물을 정렬하기 위한 복수의 가이드(guide)를 포함할 수 있다. 이 복수의 가이드는 물리적 및 화학적 속성의 국소적 차이, 가령, 친수성의 국소적 차이에 의해 형성될 수 있다. 프리-마스크는 해당 분야에 공지된 방법에 의해 생성될 수 있다. 예를 들어, 형성된 저 공간 빈도의 패턴이 고 공간 빈도 블록 공중합체 패턴을 가두고 정렬하기 위해 사용될 수 있도록, 기판 상에 부조 구조물(relief structure)을 새기기 위해, 그래포에피택시(graphoepitaxy)법이 사용될 수 있다. 마찬가지로, 기판 상에 패턴을 제공하기 위해 케모-에피택시(chemo-epitaxy) 방법이 사용될 수 있으며, 여기서, 화학적 속성, 가령, 친수성의 국소적 차이가 이들 패턴을 따르는 BCP 내 중합체 구성요소의 바람직한 정렬을 유도한다. 따라서 공중합체 패턴 구조물의 정렬은, 상 구성요소(phase component)의 프리-마스크 패턴으로의 바람직한 인력을 기초로, 가령, 상 구성요소의 서로 다른 화학적 속성, 가령, 친수성/소수성의 차이를 기초로, 이뤄질 수 있다. 따라서 공중합체 패턴 구조물에서 프리-마스크 패턴의 복제(replication), 가령, 기판 근방에서의 프리-마스크 패턴의 공간적 빈도 체배가 이뤄질 수 있다. 선택사항으로서, 가령, 기판으로부터 이동된(가령, 기판에서 먼) 측부에서부터 시작하여, 예를 들어, 균일한, 가령, 선택적인, 에칭, 절단(cutting) 또는 연마(grinding) 단계에 의해, 블록-공중합체 층의 상부 부분이 제거되어, 이 패턴 복제가 프리-마스크로부터 이탈될 수 있는 영역을 제거할 수 있다. 예를 들어, 도 2는 어닐링 이후의 블록-공중합체 층(21)을 도시하고, 여기서, 층형 공중합체 패턴 구조물이 기판, 가령, 실리콘 기판(23) 상에 제공된 층(22)에 등록된다. 층(22)은 프리-마스크 패턴을 포함한다. 덧붙여, 층(22)은 중성 층(22')(NUL)을 포함한다.
본 발명의 실시예는 NUL 에칭 층을 에칭하기 위해 적용되는 것과 동일한 에칭 화학(etch chemistry)을 적용함으로써, 프리-마스크 패턴을 형성하는 단계를 더 포함할 수 있으며, 여기서, 에칭 시간은 필요한 임계 치수가 얻어지기 전까지 프리-마스크 패턴 구조물을 트림(trim)(횡방향으로 감소)하기에 적합하다.
도 2 및 도 3의 실시예에서 도시된 것처럼, 프리-마스크 패턴(22')이 중성 층(22")과 평탄화될 수 있다. 즉, 중성 층은 2개의 이웃하는 프리-마스크 패턴의 라인/구조물 사이의 트렌치(갭)를 채움으로써, 블록-공중합체 층(21) 아래에 놓이는 평탄화된(평편한) 상부가 도출된다. 이로써, 프리-마스크 패턴(22')의 구조물이 중성 층(22")에 매립되고 다 함께 층(22)을 형성한다.
프리-마스크(22')를 생성하기 위한 방법은 해당 분야에 잘 공지되어 있으며, 예를 들어, "Comparison of Directed Self-Assembly Integrations", Somervell외 저. SPIE Advanced Lithography 출판, 2012년 02월에 기재된 바와 같이 생성될 수 있다. 예를 들어, 프리-마스크 패턴(22') 및 중성 층(22")이 도 2 및 도 3에 도시되어 있다. 예를 들어, 블록-중합체 층(21)이 제 1 중합체 구성요소, 가령, PMMA(25)와 제 2 중합체 구성요소, 가령, 폴리스티렌(24)의 교대하는 블록 도메인을 포함할 수 있다. 도 3은 제 1 중합체 구성요소, 가령 PMMA(25)를 에칭 제거한 후의 블록 중합체 층(21)을 도시한다.
실시예에서, 중성 층은 블록-공중합체의 각각의 구성요소를 구성하는 단량체(monomer)를 포함하는 랜덤 공중합체일 수 있다. 이는, 중성 층과 랜덤 공중합체 간 화학적 친화성(chemical compatibility)을 선호할 때 유리하다. 실시예에서, 중성 층은 블록 공중합체의 제 1 구성요소를 구성하는 단량체 및 블록 공중합체의 제 2 구성요소를 구성하는 단량체를 포함하는 랜덤 공중합체일 수 있다. 실시예에서, 중성 층은 친수성 잔기(hydrophilic moiety), 가령, 히드록실 잔기(hydroxyl moiety)를 지닐 수 있다. 이는 중성 층 상의 블록 공중합체의 자기-조립을 촉진할 때 유리하다. 본 발명의 실시예에서, 중성 층은 약 50% 폴리스티렌 및 약 50% PMMA의 혼합물을 포함할 수 있다.
특정 실시예에서, 중성 층은 히드록실-말단의(hydroxyl-terminated) 폴리(스티렌-랜덤-메틸 메타크릴레이트) 브러시((PS-r-MMA)-OH)이다. 중성 층의 조성은 어닐링 공정 동안 블록-공중합체가 자기-조립될 수 있게 한다. 이러한 (PS-r-MMA)-OH는, 폴리스티렌 및 폴리메틸메타크릴레이트 블록을 포함하는 블록-공중합체와 함께 사용될 때 특히 바람직하다.
방법(10)은 에칭을 수행하는 단계, 가령, 에싱 가스(ashing gas)를 이용한 단일 플라스마 에칭 단계 또는 제 1 중합체 상을 선택적으로 제거하기 위해 플라스마 에칭 단계의 시퀀스를 이용하는 자기-구성 블록-공중합체 층의 플라스마 에칭 단계(14)를 더 포함한다. 플라스마 에칭 단계의 상기 시퀀스는 애싱 가스로부터 형성된 플라스마를 이용한 플라스마 에칭 단계, 및 상기 단계에 후속되거나 선행되는, 실질적으로 순수한 비활성 가스로부터 형성된 플라스마를 이용한 플라스마 에칭/스퍼터링(sputtering) 단계를 포함할 수 있다.
이러한 애싱 가스는 임의의 적합한 애싱 가스일 수 있다. 사용될 수 있는 애싱 가스의 하나의 예는 산소이다. 일부 실시예에서, 애싱이 너무 빨리 발생하는 것을 방지하기 위해, 애싱 가스가 50% 미만, 바람직하게는 20% 미만, 더 바람직하게는, 10% 미만의 농도로 존재할 수 있다. 실질적으로 순수라는 것은 무시할만한 정도의 양의 불순물만 포함하는 가스 혼합물을 의미하고, 이러한 혼합물이 그 밖의 다른 원소 또는 분자의 미량(trace)을 플라스마 에칭에 유의미한 영향을 미치지 않는 작은 양으로 포함할 수 있지만, 바람직하게 순수(preferentially pure)라고 해석되어야 한다. 비활성 가스는 블록-공중합체 물질 및 이의 구성성분과 화학적 반응을 일으키지 않는, 또는 무시할만한 정도까지만 일으키는 가스를 일컫는다. 예를 들어, 영족 가스(noble gas) 및 질소가 유기 화학물과 반응을 일으키지 않거나, 약하게만 반응을 일으킬 수 있다.
비활성 가스 플라스마 에칭은 스퍼터링 단계를 포함할 수 있다. 예를 들어, 아르곤(Ar) 플라스마가 하나의 중합체 구성요소, 가령, PMMA에 대응하는 물질을 선택적으로 삭제하고, 다른 중합체 구성요소, 가령, 폴리스티렌에서 형성된 공중합체 패턴 구조는 제 위치에 남겨두도록 사용될 수 있다. 공중합체가 셋 이상의 구성요소를 포함하는 경우, 에칭함으로써, 가령, 제 1 구성요소를 실질적으로 에칭 제거하고, 제 2 구성요소를 덜 에칭 제거하고, 제 3 구성요소는 덜 영향받은 채로 남겨둠으로써, 3-차원 구조물이 형성될 수 있도록, 이러한 비활성 가스를 이용한 에칭에 대한 내에칭성(etch resistance)이 이들 구성요소들에 대해 서로 다를 수 있다.
실시예에서, 제 2 중합체 구성요소에 대한 제 1 중합체 구성요소의 에칭(14)의 선택비가 8 또는 그 이상일 수 있다.
예를 들어, 폴리스티렌-블록-폴리(메틸메타크릴레이트) 층(PS-b-PMMA)에 대해, 아르곤 플라스마가 폴리스티렌 패턴 구조물은 최소한만 부식시키면서, PMMA를 제거할 수 있다. 예를 들어, 바이어스 없는 또는 저 바이어스로 아르곤 플라스마를 이용한 건식 에칭 단계가 PS에 대한 PMMA의 선택비로서, 가령 8 이상을 얻을 수 있다.
애싱 가스 플라스마 에칭이 비활성 가스 에칭/스퍼터링 단계의 에칭 잔여물을 제거할 수 있는 것이 본 발명의 적어도 일부 실시예의 이점인데, 가령, PS-b-PMMA 층에서, 플리스티렌 상에 스퍼터링된 또는 재-증착된 비-휘발성 PMMA 에칭 잔여물이, 산소 에칭 단계에 의해, 휘발성 물질로 전환되고 차후 효과적으로 제거될 수 있다.
본 발명에 따르는 실시예에서, 방법(10)은 나머지 중합체 상을 마스크로서 사용하여 중성 층을 에칭하는 단계(16)를 포함한다.
본 발명의 실시예에서, 비활성 가스 및 H2를 포함하는 플라스마 에칭을 이용함으로써 중성 층이 에칭되어, 플리스티렌(마스크로서 동작하는 잔여 중합체)으로부터 중성 층으로의 우수한 패턴 전사가 얻어진다. Ar/H2 플라스마가 사용되는 것이 바람직하다.
비활성 가스/H2(가령, Ar/H2) 플라스마 노출 동안 폴리스티렌이 과도하게 소비 및/또는 깎이지 않도록, 저 플라스마 밀도를 갖는 비활성 가스/H2(가령, Ar/H2) 플라스마 에칭이 수행되는 것이 바람직하다. 50mT 미만, 바람직하게는 10mT 미만의 에칭 챔버 내 낮은 전압력에서 저밀도 플라스마가 획득된다.
C-포함 가스(가령, 중합 가스)가 Ar/H2에 첨가될 때, 에지 균일도(edge uniformity)에 대한 플라스마 개선 제어가 획득된다.
CH4가 Ar/H2 플라스마에 첨가된 특정 예에서, 25.68nm의 라인-폭(또는 임계 치수 CD)에 대해 1.71의 표준 편차(3σ)가 획득되었다. 이는 거의 동일한 CD 값에 대해 C-포함 가스(가령, 중합 가스)의 첨가 없이 Ar/H2 플라스마만 이용해 획득된 값 22.3에 비교할 때, 약 수십배의 에지 균일도(edge uniformity)의 개선을 나타낸다.
중합 가스는 탄소(C) 포함 가스이다. 본 발명의 실시예에서, C-포함 가스는 추가적인 수소를 포함할 수 있다. 이러한 C-포함 가스의 예로는 수소, 가령, CH4, C2H4, C2H6, C3H8 및 이들의 임의의 혼합물이다. CH4는 다른 C-포함 가스보다 저렴하고 덜 중합되기 때문에, 특히 바람직하다.
일부 실시예에서, C-포함 가스는 추가 수소 및 할로겐 화합물을 포함할 수 있다. 이러한 C- 및 할로겐 포함 가스의 예로는 CH3F, CH2F2, C2H2F4, CH3I 및 이들의 임의의 혼합물이 있다.
또 다른 실시예에서, C-포함 가스는 추가 산소를 포함할 수 있다. 이러한 C- 및 산소 포함 가스의 예로는 COS, CO2 및 이들의 임의의 혼합물이 있다. C-포함 가스가 산소를 더 포함할 때, COS가 선호된다.
어떠한 이론에도 구애받고 싶지 않지만, 탄소 포함 가스가 (마스크로서 기능하는) 잔여 중합체 상을 보호함으로써 중성 층 에칭 동안 마스크의 프로파일을 보존한다고 알려져 있다.
특정 실시예에서, 중성 층 에칭 동안 CH4가 Ar/H2 플라스마에 첨가되고, 폴리스티렌 프로파일이 더 우수하게 보존되고, 따라서 중성 층 및 그 아래에 위치하는 기판으로의 패턴의 전사가 상당히 개선된다.
특정 예시에서, 챔버 내 전압력은 약 5mT 내지 10mT이고, RF 전력은 약 100W였고, 챔버에 약 100sccm의 H2, 100sccm의 Ar 및 5 내지 10sccm의 CH4가 공급되었다. 매 번 50W 내지 100W의 바이어스가 인가되고, 에칭 시간은 6nm 내지 8nm의 두께를 갖는 중성 층에 대해 약 15초였다.
도 4는 에칭 챔버에서 낮은 전압력으로 (a) Ar/O2에 의한 PMMA 에칭 후, (b) Ar/H2 플라스마에 의한 중성 층 에칭 후, SEM 단면 사진을 보여준다. 도시된 바와 같이 2-블록 공중합체 층에서 형성된 패턴(사진 (a) 약 10.3nm의 중성 층의 상부 상에서 35.9nm의 높이를 갖는 PS 라인)은 중성 층 내부로 전사된다. 사진 (b)는 PS의 총 잔여 높이 21nm을 보여주고, 이는 NUL 에칭 동안 폴리스티렌 중 일부가 소비되었음을 나타낸다. 그러나 프로파일은 유지되고 라인의 균일성은 매우 우수하다.
방법(10)은 (상기의 임의의 실시예에서 개시된 바와 같이) 비활성 가스/H2(가령, Ar/H2) 에칭 화학을 C-포함 가스를 첨가하여 제공함으로써, 프리-마스크 패턴(22')을 더 형성하는 단계를 포함할 수 있다.
프리-마스크 패턴의 에칭 시간이 필요한 임계 치수가 얻어질 때까지 프리-마스크 패턴 구조물을 트림(trim)(라인 폭을 횡방향으로 감소)하도록 적합화된다.
실시예에서, 가교 결합된 물질, 가령, 가교 결합된 폴리스티렌(X-PS)으로부터 프리-마스크-패턴이 형성될 수 있다.
특정 예를 들면, 프리-마스크 패턴(22')이 형성되는 가교 결합된 폴리스티렌(X-PS)으로 만들어진 층의 에칭 시간은, 얻어져야 할 최종 임계 치수(CD)에 따라 약 60초 내지 90초이다. X-PS 층은 기판 상으로 또는 기판 위에 위치하는 하드 마스크 층 상으로 직접 증착된다.
특정 예를 들면, X-PS 층은 약 6nm의 두께를 가진다. Ar/H2/CH4로 구성된 에칭 화학을 이용할 때, 25.68nm (3σ=1.71)의 CD 값이 60초 동안 얻어졌고, 20.52nm (3σ=2.60)의 CD가 75초 동안 얻어졌으며, 15.42nm (3σ=6.84)의 CD가 90초 동안 얻어졌다. 이론에 구애받고 싶지 않지만, 탄소 포함 가스가 잔여 폴리스티렌 층의 상부 부분과 상호작용하고, 이로써 트림 공정 동안 잔여 폴리스티렌 층의 상부 부분을 보호하는 얇은 층을 형성한다. 결과적으로, 프로파일 및 라인 에지 거칠기(roughness)의 우수한 제어를 보존하면서, 매우 좁은 라인을 갖는 프리-마스크 패턴(22')이 획득된다.
본 발명에 따르는 실시예에서, 방법(10)은 잔여 중합체 구성요소, 가령, 상기 제 1 중합체 구성요소, 가령, PMMA 물질을 블록 공중합체 층 및 잔여 중간 층으로부터 (제 1 중합체 구성요소 하에서) 에칭 제거한 후 폴리스티렌 내에 형성되는 패턴을 리소그래피 레지스트 마스크로서를 이용해 기판(도 1에 도시되지 않음)을 에칭하는 단계를 더 포함할 수 있다.
대안적으로, 하드 마스크 층(도시되지 않음)이 기판(23)과 NUL 층(22") 사이에 존재할 때, 먼저 하드 마스크 층이 패터닝되고, 그 후 패턴이 기판으로 전사된다.
두 번째 양태에서, 본 발명은 적어도 부분적으로 제작된 집적 회로(40)와 관련되는데, 가령, 도 3에 도시된 것처럼, 기판(23) 상에 제공되는 중합체 패턴 구조물(24)을 포함한다. 본 발명의 첫 번째 양태에 따르는 방법에 의해 이 중합체 패턴 구조물은 블록-공중합체 층(21)의 적어도 하나의 중합체 구성요소(25)를 에칭 제거함으로써, 획득된다. 일반적으로 이러한 구조물은 블록-공중합체 층을 포함하며, 여기서, 적어도 하나의 중합체 구성요소(25)가 에칭 제거되었고, 상기 중합체 패턴은 50nm 미만, 바람직하게는 20nm 미만, 더 바람직하게는 16nm 미만의 반 피치(half pitch)를 가진다. NUL 층(22")은 본 발명의 방법에 따라 추가로 패터닝됨으로써, 그 아래 위치하는 기판 및 하드 마스크 층이 노출된다.
PMMA 및 중성 층 에칭이 최적화되는 본 예시에 대해 사용되는 방향성 자기-조립 샘플은 체모-에피택시(chemo-epitaxy) 흐름을 이용해 준비되었다. 30-35nm 두께의 블록-공중합체(PS-b-PMMA) 필름이 중성 층의 상부 상에 코팅되고 어닐링되었다. 어닐링 전에, 스핀-캐스팅(spin-casting) 후 임의의 잔여 용매를 제거하기 위해 프리-베이킹(pre-bake)이 수행되었다. 이 프리-베이킹은 60초 동안 100℃로 수행되었고, 300mm 웨이퍼를 가로질러 균일한 필름을 도출했다. 블록-공중합체의 실제 어닐링은 오븐 내에서 N2 분위기에서 300초 동안 250℃에서 수행되었다.
중성 층(6-8nm)이 폴리스티렌 및 PMMA의 혼합물이었다. 블록-공중합체가 실리콘 니트라이드 기판의 상부 상에 가교 결합되는 폴리스티렌(PS)의 안내 스트라이프(guiding stripe)에 맞춰 자기-정렬되었다. 어닐링 후, 블록-공중합체는 14nm 반-피치(half-pitch) 라멜라 PMMA/PS 라인/공백(line/space) 구조물을 형성했다.

Claims (15)

  1. 블록-공중합체 리소그래피 방법(10)으로서, 상기 방법은
    중성 층(neutral layer)(22") 상의 자기-구성(self-organizing) 블록-공중합체 층(21)을 획득하는 단계(12) - 상기 중성 층(22")은 기판(23) 위에 놓이고, 상기 자기-구성 블록-공중합체 층(21)은 서로 다른 내에칭성(etching resistance)을 갖는 적어도 2개의 중합체 구성요소(24, 25)를 포함하고, 상기 자기-구성 블록-공중합체 층(21)은 적어도 2개의 중합체 구성요소(24, 25)의 마이크로-상 분리(micro-phase separation)에 의해 형성되는 공중합체 패턴 구조물을 더 포함함 - 와,
    자기-구성 블록-공중합체 층(21)의 제 1 중합체를 선택적으로 에칭하여, 제 2 중합체 구성요소(24)를 남겨두는 단계(14)와,
    제 2 중합체 구성요소(24)를 마스크로서 이용해 플라스마 에칭(16)을 중성 층(22")에 적용하는 단계 - 상기 플라스마 에칭(16)은 비활성 가스 및 H2를 포함함 -
    를 포함하는, 블록-공중합체 리소그래피 방법.
  2. 제1항에 있어서, 중성 층(22")에 적용되는 플라스마 에칭(16)은 Ar/H2로 구성되는, 블록-공중합체 리소그래피 방법.
  3. 제1항 또는 제2항에 있어서, 중성 층(22")에 적용되는 플라스마 에칭(16)은 C-포함 가스를 더 포함하는, 블록-공중합체 리소그래피 방법.
  4. 제3항에 있어서, 상기 C-포함 가스는 CH4, C2H4, C2H6, C3H8 및 이들의 임의의 혼합물로 구성된 그룹 중에서 선택되는, 블록-공중합체 리소그래피 방법.
  5. 제1항 또는 제2항에 있어서, 중성 층(22")의 플라스마 에칭(16) 동안 에칭 챔버 내 전압력이 50mT 미만, 바람직하게는 10mT 미만인, 블록-공중합체 리소그래피 방법.
  6. 제1항 또는 제2항에 있어서, 기판(23) 상에 프리-마스크 패턴(pre-mask pattern)(22')을 형성하는 단계(18) - 상기 프리-마스크 패턴(22')은 상기 공중합체 패턴 구조물을 정렬시키기 위한 복수의 안내부재(guide)를 포함함 - 를 포함하는, 블록-공중합체 리소그래피 방법.
  7. 제6항에 있어서, 비활성 가스, H2 및 C-포함 가스를 포함하는 플라스마를 이용한 플라스마 에칭 및 트림(trim) 공정을 적용함으로써 상기 프리-마스크 패턴(22')이 형성(18)되는, 블록-공중합체 리소그래피 방법.
  8. 제6항에 있어서, Ar/H2 및 CH4로 구성된 플라스마를 이용한 플라스마 에칭 및 트림 공정을 적용함으로써 상기 프리-마스크 패턴(22')이 형성(18)되는, 블록-공중합체 리소그래피 방법.
  9. 제1항 또는 제2항에 있어서, 블록 공중합체 층(21)을 어닐링함으로써, 공중합체 패턴 구조물이 형성되는, 블록-공중합체 리소그래피 방법.
  10. 제1항 또는 제2항에 있어서, 상기 제 2 중합체 구성요소(24)는 폴리스티렌인, 블록-공중합체 리소그래피 방법.
  11. 제1항 또는 제2항에 있어서, 상기 블록-공중합체는 서로 공유 결합된 두 가지 유형의 중합체 체인을 포함하는 2-블록 공중합체를 포함하는, 블록-공중합체 리소그래피 방법.
  12. 제11항에 있어서, 2-블록 공중합체는 폴리스티렌-폴리이소부텐, 폴리스티렌-이소프렌, 폴리메틸실록산-폴리이소부텐, 폴리스티렌-폴리에틸렌 옥사이드, 폴리스티렌-폴리프로필렌 옥사이드, 폴리에틸렌옥사이드-폴리(시아노비페닐록시)헥실 메타크릴레이트, 폴리스티렌-폴리메틸 메타크릴레이트, 폴리스티렌-폴리메타크릴산, 폴리에틸렌 옥사이드-폴리비닐피리딘, 폴리스티렌-폴리비닐피리딘, 또는 폴리이소프렌-폴리히드록시스티렌을 포함하는, 블록-공중합체 리소그래피 방법.
  13. 제1항 또는 제2항에 있어서, 제 1 중합체 구성요소(25)를 블록 공중합체 층(21)에서 에칭 제거한 후의 제 2 중합체 구성요소(24)를 레지스트 마스크로서 이용하여, 기판(23)을 에칭하는 단계를 더 포함하는, 블록-공중합체 리소그래피 방법.
  14. 제1항 또는 제2항에 있어서, 하드 마스크 층이 기판(23)과 중성 층(22") 사이에 존재하는, 블록-공중합체 리소그래피 방법.
  15. 부분 제작된 집적 회로(40)로서, 상기 집적 회로는
    중성 층(neutral layer)(22") 상에 제공되는 중합체 패턴 구조물을 포함하고, 상기 중성 층(22")은 기판(23) 위에 위치하고, 상기 중합체 패턴 구조물은 블록-공중합체 층(21)이며, 적어도 하나의 중합체 구성요소(25)는 에칭 삭제되었고, 상기 중합체 패턴은 50nm 미만, 바람직하게는 20nm 미만, 더 바람직하게는 16nm 미만의 반 피치(half pitch)를 가지며, 상기 중성 층(22")은 아래에 위치하는 기판(23)을 노출시키도록 중합체 패턴을 마스크로서 이용해 상기 중성 층(22")을 에칭함으로써 획득 가능한 패턴을 갖는, 부분 제작된 집적 회로.
KR1020130137046A 2012-11-14 2013-11-12 블록-공중합체를 이용하는 에칭 방법 KR102047140B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261726390P 2012-11-14 2012-11-14
US61/726,390 2012-11-14
EP13189664.9A EP2733533B1 (en) 2012-11-14 2013-10-22 Etching method using block-copolymers
EP13189664.9 2013-10-22

Publications (2)

Publication Number Publication Date
KR20140062412A true KR20140062412A (ko) 2014-05-23
KR102047140B1 KR102047140B1 (ko) 2019-12-02

Family

ID=49484125

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130137046A KR102047140B1 (ko) 2012-11-14 2013-11-12 블록-공중합체를 이용하는 에칭 방법

Country Status (5)

Country Link
US (1) US9263288B2 (ko)
EP (1) EP2733533B1 (ko)
JP (1) JP6219674B2 (ko)
KR (1) KR102047140B1 (ko)
TW (1) TWI584350B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5973763B2 (ja) * 2012-03-28 2016-08-23 東京エレクトロン株式会社 自己組織化可能なブロック・コポリマーを用いて周期パターン形成する方法及び装置
JP6170378B2 (ja) * 2013-08-29 2017-07-26 東京エレクトロン株式会社 エッチング方法
FR3041120B1 (fr) * 2015-09-11 2017-09-29 Commissariat Energie Atomique Procede de gravure selective d’un copolymere a blocs
FR3041119B1 (fr) 2015-09-11 2017-09-29 Commissariat Energie Atomique Procede de gravure selective d’un copolymere a blocs
WO2017087410A2 (en) * 2015-11-16 2017-05-26 Tokyo Electron Limited Etching method for a structure pattern layer having a first material and second material
JP6643876B2 (ja) * 2015-11-26 2020-02-12 東京エレクトロン株式会社 エッチング方法
KR102637883B1 (ko) * 2015-12-11 2024-02-19 아이엠이씨 브이제트더블유 기판 상의 패턴 형성 방법, 그 방법에 관련된 반도체 장치 및 이용
US9899220B2 (en) 2015-12-15 2018-02-20 Imec Vzw Method for patterning a substrate involving directed self-assembly
US9978563B2 (en) * 2016-01-27 2018-05-22 Tokyo Electron Limited Plasma treatment method to meet line edge roughness and other integration objectives
CN105565261B (zh) * 2016-01-29 2018-02-16 中国科学院微电子研究所 定向自组装模板转移方法
CN110023384B (zh) 2016-11-30 2022-06-07 株式会社Lg化学 层合体
FR3066497A1 (fr) * 2017-05-22 2018-11-23 Arkema France Procede pour l'assemblage de copolymeres a blocs par controle de l'energie de surface d'un materiau a l'aide d'un traitement reducteur
FR3066498A1 (fr) * 2017-05-22 2018-11-23 Arkema France Procede pour l'assemblage de copolymeres a blocs par controle de l'energie de surface d'un materiau par traitement reducteur plasma
EP3665531B1 (en) * 2017-09-13 2023-12-13 LG Chem, Ltd. Preparation method of patterned substrate
CN108231984A (zh) * 2018-01-31 2018-06-29 华南理工大学 一种相分离手段实现的钙钛矿图案化膜片及其制作方法
FR3085389B1 (fr) * 2018-09-03 2021-02-12 Commissariat Energie Atomique Procede de gravure d’un copolymere a blocs comprenant une etape de depot selectif

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004281837A (ja) * 2003-03-18 2004-10-07 Hitachi Ltd 半導体装置の製造方法
WO2011094204A2 (en) * 2010-01-26 2011-08-04 Wisconsin Alumni Research Foundation Methods of fabricating large-area, semiconducting nanoperforated graphene materials
JP2012059802A (ja) * 2010-09-07 2012-03-22 Toshiba Corp パターン形成方法
WO2012043403A1 (ja) * 2010-09-29 2012-04-05 Jsr株式会社 パターン形成方法、レジスト下層膜及びレジスト下層膜形成用組成物
JP2012209397A (ja) * 2011-03-29 2012-10-25 Toppan Printing Co Ltd パターン形成方法およびパターン形成体

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3472196B2 (ja) * 1999-06-01 2003-12-02 キヤノン株式会社 エッチング方法及びそれを用いた半導体装置の製造方法
JP3940546B2 (ja) 1999-06-07 2007-07-04 株式会社東芝 パターン形成方法およびパターン形成材料
JP3403374B2 (ja) * 2000-05-26 2003-05-06 松下電器産業株式会社 有機膜のエッチング方法、半導体装置の製造方法及びパターンの形成方法
WO2003014047A1 (en) * 2001-08-06 2003-02-20 Showa Denko K. K. Production and use of hexafluoroethane
KR100599092B1 (ko) * 2004-11-29 2006-07-12 삼성전자주식회사 구동 주파수 조절에 의한 전자기유도 가속장치
US7371684B2 (en) * 2005-05-16 2008-05-13 International Business Machines Corporation Process for preparing electronics structures using a sacrificial multilayer hardmask scheme
WO2007053579A2 (en) * 2005-10-31 2007-05-10 Kabushiki Kaisha Toshiba Short-wavelength polarizing elements and the manufacture and use thereof
US7723009B2 (en) * 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7989026B2 (en) * 2008-01-12 2011-08-02 International Business Machines Corporation Method of use of epoxy-containing cycloaliphatic acrylic polymers as orientation control layers for block copolymer thin films
US8101261B2 (en) * 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
JP4654279B2 (ja) * 2008-08-28 2011-03-16 株式会社日立製作所 微細構造を有する高分子薄膜およびパターン基板の製造方法
WO2010096363A2 (en) 2009-02-19 2010-08-26 Arkema Inc. Nanofabrication method
US8828493B2 (en) * 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
JP2012033537A (ja) * 2010-07-28 2012-02-16 Toshiba Corp 発光素子
JP5846568B2 (ja) * 2011-04-13 2016-01-20 東京応化工業株式会社 相分離構造を有する層を表面に備える基板の製造方法
US8691925B2 (en) * 2011-09-23 2014-04-08 Az Electronic Materials (Luxembourg) S.A.R.L. Compositions of neutral layer for directed self assembly block copolymers and processes thereof
WO2013143813A1 (en) * 2012-03-28 2013-10-03 Asml Netherlands B.V. Methods of providing patterned templates for self-assemblable block copolymers for use in device lithography

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004281837A (ja) * 2003-03-18 2004-10-07 Hitachi Ltd 半導体装置の製造方法
WO2011094204A2 (en) * 2010-01-26 2011-08-04 Wisconsin Alumni Research Foundation Methods of fabricating large-area, semiconducting nanoperforated graphene materials
JP2012059802A (ja) * 2010-09-07 2012-03-22 Toshiba Corp パターン形成方法
WO2012043403A1 (ja) * 2010-09-29 2012-04-05 Jsr株式会社 パターン形成方法、レジスト下層膜及びレジスト下層膜形成用組成物
JP2012209397A (ja) * 2011-03-29 2012-10-25 Toppan Printing Co Ltd パターン形成方法およびパターン形成体

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9666447B2 (en) 2014-10-28 2017-05-30 Tokyo Electron Limited Method for selectivity enhancement during dry plasma etching

Also Published As

Publication number Publication date
JP6219674B2 (ja) 2017-10-25
KR102047140B1 (ko) 2019-12-02
JP2014099604A (ja) 2014-05-29
US9263288B2 (en) 2016-02-16
TW201428818A (zh) 2014-07-16
US20140131839A1 (en) 2014-05-15
EP2733533A2 (en) 2014-05-21
EP2733533B1 (en) 2018-02-28
TWI584350B (zh) 2017-05-21
EP2733533A3 (en) 2016-06-01

Similar Documents

Publication Publication Date Title
KR102047140B1 (ko) 블록-공중합체를 이용하는 에칭 방법
US10059820B2 (en) Hybrid topographical and chemical pre-patterns for directed self-assembly of block copolymers
EP2717296B1 (en) Etching of block-copolymers
JP6139011B2 (ja) 誘導自己組織化用途における中立層オーバーコートのトポグラフィの最小化
US9576817B1 (en) Pattern decomposition for directed self assembly patterns templated by sidewall image transfer
US8455082B2 (en) Polymer materials for formation of registered arrays of cylindrical pores
US8097175B2 (en) Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US20140290858A1 (en) Methods of forming a polymeric material via self-assembly of amphiphilic material and related template structures
US9810980B1 (en) Graphoepitaxy directed self assembly
TW200921786A (en) Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US9458531B2 (en) Method for directed self-assembly (DSA) of block copolymers using guiding line sidewalls
TW201219968A (en) Lithography using self-assembled polymers
US9991115B2 (en) Directed self-assembly using trench assisted chemoepitaxy
US20170062271A1 (en) Chemoepitaxy-based directed self assembly process with tone inversion for unidirectional wiring
CN110993566A (zh) 一种定向自组装和掩膜调控制备半导体纳米结构的方法
CN111261586B (zh) 一种中孔半导体纳米结构的制作方法
KR20140103570A (ko) 블록 코폴리머 층의 패턴 형성 방법 및 패턴화된 폴리머 구조물

Legal Events

Date Code Title Description
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant