KR20140040851A - 시간-다중화된 딥 반응성-이온 에칭 프로세스를 위한 제어 시스템 및 그 방법 - Google Patents

시간-다중화된 딥 반응성-이온 에칭 프로세스를 위한 제어 시스템 및 그 방법 Download PDF

Info

Publication number
KR20140040851A
KR20140040851A KR1020147003266A KR20147003266A KR20140040851A KR 20140040851 A KR20140040851 A KR 20140040851A KR 1020147003266 A KR1020147003266 A KR 1020147003266A KR 20147003266 A KR20147003266 A KR 20147003266A KR 20140040851 A KR20140040851 A KR 20140040851A
Authority
KR
South Korea
Prior art keywords
control
exhaust valve
gas delivery
valve
controller
Prior art date
Application number
KR1020147003266A
Other languages
English (en)
Inventor
블라디슬라브 다비드코비치
마틴 리안
데이비드 체임벌린
필립 더블유. 설리번
폴 디. 루카스
존 토마스 힐하우스
로버트 크름포티치
Original Assignee
엠케이에스 인스트루먼츠, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엠케이에스 인스트루먼츠, 인코포레이티드 filed Critical 엠케이에스 인스트루먼츠, 인코포레이티드
Publication of KR20140040851A publication Critical patent/KR20140040851A/ko

Links

Images

Classifications

    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D16/00Control of fluid pressure
    • G05D16/20Control of fluid pressure characterised by the use of electric means
    • G05D16/2006Control of fluid pressure characterised by the use of electric means with direct action of electric energy on controlling means
    • G05D16/2013Control of fluid pressure characterised by the use of electric means with direct action of electric energy on controlling means using throttling means as controlling means
    • G05D16/2026Control of fluid pressure characterised by the use of electric means with direct action of electric energy on controlling means using throttling means as controlling means with a plurality of throttling means
    • G05D16/2046Control of fluid pressure characterised by the use of electric means with direct action of electric energy on controlling means using throttling means as controlling means with a plurality of throttling means the plurality of throttling means being arranged for the control of a single pressure from a plurality of converging pressures
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/0318Processes
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T137/00Fluid handling
    • Y10T137/8593Systems
    • Y10T137/87169Supply and exhaust

Landscapes

  • Physics & Mathematics (AREA)
  • Fluid Mechanics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

개선된 가스 전달 시스템 및 방법은 가스 전달 프로세스의 단계의 선결정된 레시피에 따라서 적어도 두 개의 가스의 규정된 양의 펄스의 시퀀스를 프로세스 툴의 프로세스 챔버로 전달한다. 이러한 시스템은: 대응하는 채널을 통하여 프로세스 툴의 프로세스 챔버 내로 흘러가는 가스의 각각의 펄스를 제어하도록 접속된 제어 밸브를 각각 포함하는 복수 개의 채널; 및 프로세스 챔버 내의 압력을 제어하기 위한 배기 밸브로서, 제어 밸브 및 배기 밸브를 포함하는 가스 전달 시스템의 동작을 단계의 선결정된 레시피에 따라서 제어하기 위한 밸브 제어기를 포함하는, 배기 밸브를 포함한다. 일 실시예에서, 배기 밸브 제어기는, 배기 밸브가 가스 전달 프로세스의 각각의 단계에 대한 과거 학습된 포지션에 기초하여 미리선택된 포지션에서 설정되는 개방 피드백 루프 제어 및 개루프 제어에 후속하여 프로세스 챔버 내의 압력의 함수로서의, 가스 전달 프로세스의 각각의 단계에 대한 시스템의 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 구성된다.

Description

시간-다중화된 딥 반응성-이온 에칭 프로세스를 위한 제어 시스템 및 그 방법{SYSTEMS FOR AND METHODS OF CONTROLLING TIME-MULTIPLEXED DEEP REACTIVE-ION ETCHING PROCESSES}
관련 출원에 대한 상호 참조
발명의 명칭이 "SYSTEM FOR AND METHOD OF FAST PULSE GAS DELIVERY"이고 2010 년 9 월 29 일에 Junhua Ding의 명의로 출원되며 본 양수인에게 양도된 미국 특허 출원 번호 제 12/893,554 호(대리인 문서 번호 제 86400-015 호(MKS-218)); 및 발명의 명칭이 "METHOD AND APPARATUS FOR MULTIPLE-CHANNEL PULSE GAS DELIVERY SYSTEM"이고 2011 년 2 월 25 일에 Junhua Ding의 명의로 출원되며 본 양수인에게 양도된 미국 특허 출원 번호 제 13/035,534 호(대리인 문서 번호 제 86400-0027 호(MKS-219))를 참조하는데, 이들 출원 모두는 그 전체로서 본 명세서에 통합된다. 후자의 출원은 이하 "'534 출원"이라고 지칭된다.
분야
본 개시물은 일반적으로 몰 또는 가스 전달 디바이스에 관련되며, 좀 더 자세하게 설명하면 펄스 가스 전달(pulse gas delivery; PGD)의 방법 및 이를 위한 시스템에 관련된다. 본 명세서에서 사용될 때 용어 "가스"는 두 용어가 다르게 고려되어야 한다면 용어 "증기"를 포함한다.
반도체 디바이스의 제조 또는 제작은 흔히 조심스런 동기화 및 12 개 만큼의 가스의 진공 프로세스 챔버와 같은 프로세스 툴로의 정밀하게 측정된 전달을 요구한다. 본 명세서에서의 목적을 위하여, 용어 "프로세스 툴" 은 프로세스 챔버를 포함할 수도 포함하지 않을 수도 있다. 많은 이산 프로세스 단계를 수반하는 다양한 레시피가 제조 프로세스에서 사용되는데, 여기에서 반도체 디바이스는 통상적으로 세척되고, 연마되며, 산화되고, 마스킹되며, 에칭되고, 도핑되며, 금속화되는 등을 거친다. 사용되는 단계, 그들의 특정 시퀀스, 및 수반된 물질 모두가 특정 디바이스의 제작에 기여한다.
더 많은 디바이스 사이즈가 90 nm 아래로 줄어들었기 때문에, 원자층 침착, 또는 ALD라고 알려진 하나의 기법이 다양한 애플리케이션, 예컨대 구리 상호접속을 위한 베리어의 침착, 텅스텐 핵생성(nucleation) 계층의 생성, 및 고도로 통전하는 유전체의 생산을 위하여 계속하여 요구된다. ALD 프로세스에서, 두 개 이상의 전구체 가스가 펄스에서 전달되며 진공 하에 유지되는 프로세스 툴 내의 웨이퍼 표면 상에서 흐른다. 두 개 이상의 전구체 가스는 교번하거나 또는 순차적인 방식으로 흐름으로써, 가스가 웨이퍼 표면 상의 부위(site) 또는 작용기와 반응할 수 있도록 한다. 이용가능한 부위 모두가 전구체 가스의 하나(예를 들어, 가스 A)로부터 포화되는 경우에, 반응은 멈추고 정화 가스가 과잉 전구체 분자를 프로세스 툴로부터 없애기 위하여 사용된다. 다음 전구체 가스(예를 들어, 가스 B)가 웨이퍼 표면에 흐를 때 프로세스는 반복된다. 두 개의 전구체 가스를 수반하는 프로세스에 대하여, 사이클은 전구체 A의 하나의 펄스, 정화, 전구체 B의 하나의 펄스, 및 정화로서 정의될 수 있다. 사이클은 추가적 전구체 가스의 펄스, 및 전구체 가스의 반복을 정화 가스를 두 개의 전구체 가스의 연속적인 펄스들 사이에 사용하는 것과 함께 포함할 수 있다. 이러한 시퀀스는 최종 기하학적 특징에 도달할 때까지 반복된다. 이러한 순차적인, 자기 제한적 표면 반응은 결과적으로 사이클 당 침착된 필름의 하나의 단일층을 초래한다.
프로세스 툴 내에 도입된 전구체 가스의 펄스의 전달은 선결정된 시간 기간동안 간단히 개방되어 각각의 펄스를 가지는 전구체 가스의 바람직한 양(질량)을 프로세스 툴의 프로세스 챔버 내로 전달하는 온/오프-타입 밸브를 사용하여 제어될 수 있다. 대안적으로는, 트랜스듀서, 제어 밸브, 및 제어 및 신호-처리 전자부품을 가지는 독립형 디바이스인 대량 흐름 제어기가 짧은 시간 간격에 선결정되고 반복가능한 유속에서 가스의 양(질량)을 전달하기 위하여 사용된다. 모든 경우에, 프로세스 툴로 흘러 들어가는 물질의 양(질량)은 실제로 측정되지 않으며 이상 기체 법칙의 측정 파라미터로부터 유추된다.
전구체 가스의 측정된 펄스형 대량 흐름을 반도체 프로세스 툴 내로 전달할 수 있는 펄스 가스 전달(PGD) 디바이스라고 알려진 시스템이 개발되어 왔다. 이러한 디바이스는 반도체 제조 프로세스, 예컨대 원자층 침착(ALD) 프로세스에서의 사용을 위하여 가스의 반복가능하며 정밀한 양(질량)을 제공하기 위하여 설계된다.
단일 채널 PGD 디바이스는 ALD 프로세스 동안에 프로세스 툴로 상향 전달될 가스를 포함하는 전달 저장소 또는 챔버를 각각 포함한다. 가스는 충전 페이즈(대응하는 입구 및 출구 밸브가 개별적으로 개방 및 폐쇄되는) 동안에 입구 밸브를 통하여 전달 챔버 내로 도입되며, 반면에 전달 페이즈 동안에 가스는 전달 챔버로부터 출구 밸브를 통하여 전달된다. 압력 센서 및 온도 센서가 전달 챔버 내의 가스의 압력 및 온도를 측정하기 위하여 사용되고, 전용 제어기가 압력 및 온도 정보를 감지하고 입구 및 출력 밸브의 개방 및 폐쇄를 제어하기 위하여 사용된다. 전달의 양이 고정되며 공지되기 때문에, 각각의 펄스와 함께 전달되는 몰 단위로 측정된 가스의 양은 가스 타입, 챔버 내의 가스의 온도, 및 펄스의 지속기간 동안의 가스의 압력 강하의 함수이다.
다중 채널 PGD 디바이스는 가스 전달 프로세스에서 사용되는 전구체 또는 정화 가스를 각각 보유하는 다중 전달 챔버를 포함한다. 그러면 프로세스에서 사용되는 각각의 전구체 및 정화 가스가 상이한 채널을 통하여 도입될 수 있다. 이것은 디바이스가 한 채널 내에 제공된 하나의 가스에 대하여 충전 페이즈에서 동작하는 동안 다른 채널 내에서 제공된 가스의 펄스를 전달하도록 한다. 각각의 전달 챔버로부터의 가스의 펄스의 흐름은 PGD의 전달 챔버 및 가스를 수납하고 있는 프로세스 툴 사이의 대응하는 온/오프-타입 출구 밸브로써 제어된다. 밸브가 주어진 질량의 가스의 펄스를 전달하기 위하여 개방되도록 요구되는 시간의 양은 대응하는 전달 챔버 내의 가스의 기동 압력 및 프로세스 툴의 하향 압력(downstream pressure)의 다른 함수이다. 예를 들어, 전달될 필요가 있는 가스의 주어진 양에 대하여, 더 높은 기동 압력에 있는 전달 챔버 내의 기동 압력은 더 낮은 기동 압력에 있는 것보다 밸브가 더 짧은 시간 동안 개방되도록 요구하는데, 이것은 더 높은 기동 압력에서 대량 흐름이 더 신속하게 발생하기 때문이다. PGD의 충전 기간 및 전달 기간은 가스(들)의 규정된 양의 정확한 전달을 보장하기 위하여 고속 펄스 가스 전달 애플리케이션에 대하여 엄격하게 제어된다. 결과적으로, PGD의 상향 압력 및 PGD 내의 충전된 압력이 ALD 프로세스의 반복가능성 및 정확도 요구 사항을 만족하기 위하여 엄격하게 제어된다. 다중 채널을 사용하고 채널의 충전 및 전달 페이즈를 번갈아가면서 수행함으로써, 상이한 가스의 펄스의 순차적인 전달이 단일 채널 디바이스에 의하여 획득되는 것보다 더 빨라질 수 있는데, 이것은 하나의 채널의 전달 챔버를 충전하는 동안 가스의 선결정된 양을 다른 채널의 전달 챔버로부터 전달하는 것이 가능하기 때문이다.
현재의 다중채널 PGD 디바이스는 각각의 채널을 동작시키기 위한 별개의 전용 채널 제어기를 포함한다. 각각의 채널 제어기는 자신의 커맨드의 전부를 프로세스 툴 내의 프로세스를 제어하기 위하여 사용되는 툴/호스트 제어기로부터 수신한다. 이러한 방식으로 각각의 채널은 툴/호스트 제어기에 의하여 제어됨으로써, 전체 프로세스가 그 중앙 제어기에 의하여 조율되고 제어될 수 있게 한다. 따라서, 프로세스가 실행되는 동안에, 툴/호스트 제어기는 연속적으로 명령 커맨드를 각각의 채널 제어기로 전송하여 다중 채널로부터의 가스의 개개의 펄스의 시기적절하고 조율된 전달을 보장한다.
더 최근에는, 고속 펄스형 또는 시간-다중화된 프로세스를 요구하는 어떤 프로세스가 개발되어 왔는데, 이러한 프로세스는 일반적으로 "딥 반응성-이온 에칭", 또는 "DRIE" 프로세스라고 지칭된다. 예를 들어, 반도체 산업은 다이-투-다이 및 웨이퍼-투-웨이퍼 적층을 위한 상호접속 능력을 제공하기 위하여 발전된 3-D 집적 회로 관통-실리콘 비아(thru-silicon vias; TSVs)를 개발하고 있다. 제조사들은 TSV 에칭 요구 사항의 균일하게 넓은 범위를 제시하는 매우 다양한 3-D 집적 방식을 현재 고려하고 있다. 보쉬(Bosch) 프로세스와 같은, 메모리 디바이스 및 MEMS 생산에서 딥 실리콘 에칭을 위하여 폭넓게 사용되어 온 플라즈마 에칭 기술이 TSV 생성을 위하여 매우 적합하다. 고속 펄스형 또는 시간-다중화된 에칭이라고도 알려진 보쉬 프로세스는 두 개의 모드들 사이에서 반복적으로 교번하여, SF6 및 C4F8을 사용한 화학적으로 불활성인 희생층의 침착을 사용하여 거의 수직 구조를 획득한다. 상업적 성공을 위하여 요구되는 TSV의 목표는: 적당한 기능성, 낮은 비용 및 검증된 신뢰성이다.
고속 프로세스는 프로세스를 더 양호하게 제어하기 위하여 연속적인 펄스들 사이의 고속 응답 시간을 요구한다. 반면에 다중채널 PGD 디바이스가 이러한 프로세스들을 가능하기 하는 반면에, 일반적으로 디바이스가 교번하는 에칭 및 패시베이션 단계 사이에서 더 빨리 천이할 수 있을수록 프로세스의 제어는 더 양호해진다. 타이밍, 특히 에칭 단계가 정밀한 시간에 중지되도록 패시베이션 가스를 에칭 단계에 후속하여 도입하는데 걸리는 시간은 에칭 및 패시베이션 단계를 제어하기 위하여 매우 중요하다. 단계가 더 빠를수록 단계들은 더 양호하게 수행될 수 있다.
이에 상응하여, 다중채널 PGD 디바이스의 장점을 희생시키지 않으면서 고속 프로세스를 더 빨리 수행할 수 있는 다중채널 PGD 디바이스를 설계하는 것이 바람직하다.
현재의 다중채널 PGD 디바이스는 각각의 채널을 동작시키기 위한 별개의 전용 채널 제어기를 포함한다. 각각의 채널 제어기는 자신의 커맨드의 전부를 툴 내의 프로세스를 제어하기 위하여 사용되는 툴/호스트 제어기로부터 수신한다. 이러한 방식으로 각각의 채널은 툴/호스트 제어기에 의하여 제어됨으로써, 전체 프로세스가 그 중앙 제어기에 의하여 조율되고 제어될 수 있게 한다. 따라서, 프로세스가 실행되는 동안에, 툴/호스트 제어기는 연속적으로 명령 커맨드를 각각의 채널 제어기로 전송하여 다중 채널로부터의 가스의 개개의 펄스의 시기적절하고 조율된 전달을 보장한다.
하나의 개선이 동시계류중인 '534 출원에서 설명되는데, 여기에서 멀티-채널 PGD 시스템은 호스트 제어기 또는 사용자 인터페이스로부터의 명령의 모두를 PGD 시스템에 의하여 실행될 프로세스 단계의 모두를 실행하기 이전에 수신하기 위하여 구성되는 전용 다중채널 제어기를 포함하는 것으로 설명된다. 따라서 다중채널 제어기는 개개의 채널의 모두를 에칭-패시베이션 프로세스의 단계를 통하여 제어하도록 구성되는데, 여기에서 가스가 에칭 프로세스를 수행하기 위하여 프로세스 툴 내로 도입되고, 후속하여 제2 패시베이션 가스의 도입이 이루어져 에칭 프로세스를 중지시킨다. 따라서 전용 다중채널 제어기는 전체 프로세스를 위하여 다중 채널에 대한 제어 신호를 제공하도록 용이하게 프로그래밍될 수 있으며, 호스트 제어기의 컴퓨팅 오버헤드를 감소시킴으로써 프로세스 툴에 관련된 다른 기능을 자유롭게 수행하도록 한다. 일 실시예에서, 호스트 컴퓨터 또는 사용자 인터페이스는 시작 커맨드를 전용 다중채널 제어기로 제공하고, 제어기는 신호를 채널의 압력 및 온도 센서로부터 수신하면서 커맨드의 모두를 채널의 모두의 개개의 컴포넌트로 제공함으로써 프로세스를 특이하게(singularly) 실행한다. 반면에 이러한 시스템은 챔버 내로의 펄스의 흐름을 제어하기 위한 개선된 시스템 아키텍처를 허용하는데, 이 시스템은 프로세스 툴 내의 압력 및 그로부터의 유체의 흐름을 제어하기 위하여 아무 것도 하지 않는다.
관련 기술의 설명
펄스 대량 흐름 전달 시스템은 미국 특허 번호 제 7615120 호; 제 7615120 호; 제 7628860 호; 제 7628861 호, 제 7662233 호; 제 7735452 호 및 제 7794544 호; 미국 특허 공개 번호 제 2006/0060139 호; 및 제 2006/0130755 호, 및 발명의 명칭이 "CONTROL FOR AND METHOD OF PULSED GAS DELIVERY" 이고 2010 년 1 월 19 일에 Paul Meneghini의 명의로 출원되고 본 양수인에게 양도된 계속중인 미국 출원 일련 번호 제 12/689,961 호(대리인 문서 번호 56231-751(MKS-194)); 및 발명의 명칭이 "SYSTEM FOR AND METHOD OF FAST PULSE GAS DELIVERY"이고 2010 년 9 월 29 일에 Junhua Ding의 명의로 출원되며 본 양수인에게 양도된 미국 특허 출원 번호 제 12/893,554 호(대리인 문서 번호 86400-015(MKS-218)); 및 발명의 명칭이 "METHOD AND APPARATUS FOR MULTIPLE-CHANNEL PULSE GAS DELIVERY SYSTEM"이고 2011 년 2 월 25 일에 Junhua Ding의 명의로 출원되며 본 양수인에게 양도된 미국 특허 출원 번호 제 13/035,534 호(대리인 문서 번호 86400-0027(MKS-219))에서 발견될 수 있다.
가스 전달 프로세스의 단계의 선결정된 레시피에 따라서 적어도 두 개의 가스의 규정된 양의 펄스의 시퀀스를 프로세스 툴로 전달하는 개선된 가스 전달 시스템의 일 측면에 따르면, 가스 전달 시스템은:
대응하는 채널을 통하여 상기 프로세스 툴 내로 흘러가는 가스의 각각의 펄스를 제어하도록 접속된 제어 밸브를 각각 포함하는 복수 개의 채널; 및
상기 프로세스 툴 내의 압력을 제어하기 위한 배기 밸브로서, 제어 밸브 및 상기 배기 밸브를 포함하는 상기 가스 전달 시스템의 동작을 단계의 선결정된 레시피에 따라서 제어하도록 구성되는 밸브 제어기를 포함하는, 배기 밸브를 포함한다.
본 발명의 다른 양태에 따르면, 프로세스 챔버를 포함하는 프로세스 툴 시스템, 및 가스의 규정된 양의 펄스의 시퀀스를 프로세스 툴로 전달하기 위한 펄스 가스 전달 시스템의 조합에서, 상기 펄스 가스 전달 시스템은:
대응하는 채널을 통하여 상기 프로세스 챔버 내로 흘러가는 가스의 각각의 펄스를 제어하도록 접속된 제어 밸브를 각각 포함하는 복수 개의 채널; 및
상기 프로세스 챔버 내의 압력을 제어하기 위한 배기 밸브로서, 상기 제어 밸브 및 상기 배기 밸브를 포함하는 상기 프로세스 툴 시스템의 동작을 단계의 선결정된 레시피에 따라서 제어하도록 구성되는 밸브 제어기를 포함하는, 배기 밸브를 포함한다.
가스의 규정된 양의 펄스의 시퀀스를 레시피의 단계에 따라서 프로세스 툴의 프로세스 챔버로, 복수 개의 채널로서, 대응하는 채널을 통하여 상기 프로세스 툴의 프로세스 챔버로 흘러가는 가스의 각각의 펄스의 지속기간을 제어하도록 접속되는 제어 밸브를 각각 포함하는 복수 개의 채널; 및 상기 프로세스 챔버 내의 압력을 제어하기 위한 배기 밸브로서, 상기 제어 밸브 및 배기 밸브의 동작을 단계의 선결정된 레시피에 따라서 제어하기 위한 밸브 제어기를 포함하는, 배기 밸브를 포함하는 펄스 가스 전달 시스템을 사용하여 전달하는 방법은:
상기 제어기가 (a) 상기 배기 밸브의 밸브 몸체의 포지션을 제어함으로써 상기 챔버 내의 압력을, 및 (b) 가스의 펄스가 단계의 레시피에 따라서 선결정된 시퀀스로 상기 프로세스 툴로 제공될 수 있도록 상기 채널의 각각의 상기 제어 밸브의 개방 및 닫힘을 제어하게 하도록 상기 밸브 제어기를 구성하는 단계를 포함한다.
본 발명의 다른 측면에 따르면, 배기 밸브 제어기는, 배기 밸브가 가스 전달 프로세스의 각각의 단계에 대한 과거 학습된 포지션에 기초하여 미리선택된 포지션에서 설정되는 개방된 피드백 루프 제어 및 개방된 루프 제어에 후속하여 프로세스 툴 내의 압력의 함수로서의, 가스 전달 프로세스의 각각의 단계에 대한 시스템의 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 구성된다.
이러한 그리고 다른 컴포넌트, 단계, 피쳐, 오브젝트, 이점, 및 장점이 이제 후속하는 예시적인 실시예의 상세한 설명, 첨부 도면, 및 청구항의 검토로부터 명백해 질 것이다.
도면은 예시적인 실시예를 개시한다. 그들은 모든 실시예를 발표하는 것은 아니다. 다른 실시예가 추가적으로 또는 대신에 사용될 수도 있다. 공간을 절약하거나 더 효과적인 예시를 위하여 피상적이거나 불필요한 것일 수도 있는 세부사항은 생략될 수도 있다. 반대로, 몇몇 실시예는 개시된 세부사항 모두가 없어도 실시될 수도 있다. 상이한 도면에 동일한 숫자가 나타난다면, 이것은 동일하거나 유사한 컴포넌트 또는 단계를 지칭한다.
도면에서:
도 1 은 고속 펄스 전달을 제공하도록 구성되는 배기 밸브 제어기를 이용하는 다중채널 가스 전달 시스템의 일 실시예의 블록도이다;
도 2 는 하이브리드 제어를 더 예시하는 타이밍도이다;
도 3 은 하이브리드 제어 접근법의 개루프 제어를 예시하는 단순화된 블록도이다;
도 4 는 하이브리드 제어 접근법의 폐루프 제어를 예시하는 단순화된 블록도를 도시한다; 그리고
도 5 는 하이브리드 제어를 포함하는 통상적 펄스 가스 전달 프로세스의 단계의 일 실시예의 흐름도를 도시한다.
도 1 은 일반적으로 10 이라고 표시되는 다중채널 PGD 시스템의 일 실시예의 블록도를 도시하는데, 여기에서 배기 밸브 제어기는 펄스 가스 전달 프로세스의 단계의 선결정된 레시피에 따라서 가스의 고속 펄스 전달의 제어를 제공하도록 구성된다. 시스템(10) 및 방법은 매우 고속이고 정확한 시퀀스에서 프로세스 가스의 오염물질이 없고 정밀하게 측정된 양을 프로세스 툴, 예컨대 반도체 프로세스 챔버, 또는 플라즈마 에칭 머신 내로 전달하도록 특히 의도된다.
도 1 을 참조하면, 예시된 예시적인 다중 가스 전달 시스템(10)은 다중 채널(12)을 포함한다. 각각의 채널(12)은 가스 서플라이(14)에 접속되며 특정한 가스를 프로세스 챔버(20)를 포함하는 것으로 도시되는 프로세스 툴로 제공하도록 구성되는 가스 서플라이 라인이다. 좀 더 구체적으로는, 시스템(10)은 개개의 가스 서플라이(14)를 포함하는데, 이것은 가스 전달 프로세스에서 사용되는 전구체 또는 정화 가스를 각각 함유하는 다중 전달 챔버의 형태를 가질 수 있다. 그러면 프로세스에서 사용되는 각각의 전구체 및 정화 가스는 상이한 채널(12)을 통하여 도입될 수 있다. 이것은 디바이스가 한 채널 내에 제공된 하나의 가스에 대하여 충전 페이즈에서 동작하는 동안 다른 채널 내에서 제공된 가스의 펄스를 전달하도록 한다. 각각의 전달 챔버로부터의 가스의 펄스의 흐름은 프로세스 챔버(20)를 포함하는 것으로 도시되는 프로세스 툴 사이의 대응하는 온/오프-타입 출구 밸브(18)로써 제어된다. 밸브가 주어진 질량의 가스의 펄스를 전달하기 위하여 개방되도록 요구되는 시간의 양은 대응하는 전달 챔버 내의 가스의 기동 압력 및 프로세스 툴의 하향 압력(downstream pressure)의 다른 함수이다. 예를 들어, 전달될 필요가 있는 가스의 주어진 양에 대하여, 더 높은 기동 압력에 있는 전달 챔버 내의 기동 압력은 더 낮은 기동 압력에 있는 것보다 밸브가 더 짧은 시간 동안 개방되도록 요구하는데, 이것은 더 높은 기동 압력에서 대량 흐름이 더 신속하게 발생하기 때문이다. PGD의 충전 기간 및 전달 기간은 가스(들)의 규정된 양의 정확한 전달을 보장하기 위하여 고속 펄스 가스 전달 애플리케이션에 대하여 엄격하게 제어된다. 결과적으로, PGD의 상향 압력 및 PGD 내의 충전된 압력이 ALD 프로세스의 반복가능성 및 정확도 요구 사항을 만족하기 위하여 엄격하게 제어된다. 다중 채널을 사용하고 채널의 충전 및 전달 페이즈를 번갈아가면서 수행함으로써, 상이한 가스의 펄스의 순차적인 전달이 단일 채널 디바이스에 의하여 획득되는 것보다 더 빨라질 수 있는데, 이것은 하나의 채널의 전달 챔버를 충전하는 동안 가스의 선결정된 양을 다른 채널의 전달 챔버로부터 전달하는 것이 가능하기 때문이다.
따라서, 각각의 채널은 그 채널(12)을 통하여 프로세스 챔버(20)로 전달되는 가스의 각각의 펄스의 지속기간을 제어하기 위한 펄스 제어 밸브(18)를 포함한다. 각각의 채널은 대응하는 펄스 제어 밸브(18)를 통하여 전달되는 각각의 펄스의 가스의 양을 제어하도록 구성되는 대량 흐름 제어기(16)를 더 포함할 수도 있는데, 하지만 그 대량 흐름 제어기는 본 명세서에서 설명되는 장점을 달성하는데 본질적이지 않다. 도시된 실시예에서, 펄스 제어 밸브(18)는 상대적으로 고속인 셧 오프 응답을 가지는, 즉, 완전히 개방된 상태 및 완전히 셧 오프된 상태 사이에서 신속하게 천이하는 셧-오프 밸브일 수 있다. 예를 들어, 셧 오프 밸브는 두 개의 상태 사이에서 대략 1 밀리초와 5 밀리초 사이에서 천이할 수 있는데, 하지만 이것은 다수 개의 인자, 예컨대 사용된 밸브, 시스템(10)에 의하여 제어되는 프로세스 등에 기초하여 변동할 수 있음은 명백하다. 압력 센서(22)가 프로세스 챔버에 대하여 제공되어 챔버(20) 내의 압력이 본 명세서에서 설명되는 펄스 가스 전달 프로세스의 제어의 부분으로서 모니터링될 수 있게 하는데, 이것은 각각의 펄스의 요구된 시간 지속기간이 적어도 프로세스 챔버(20) 내의 압력의 부분 함수이기 때문이다. 이하 더 명백해 질 바와 같이, 프로세스 챔버 내의 압력은 가스를 프로세스 챔버(20)로부터 펌핑하기 위하여 진공 펌프(26)를 사용하고, 가스가 챔버로부터 펌핑되는 레이트를 배기 밸브(24)의 밸브 몸체의 포지션을 제어함으로써 제어된다. 배기 밸브는 스로틀 밸브의 형태를 가지며, 일 실시예에서는 진자(pendulum) 밸브를 형태를 가진다. 스로틀 밸브(24)는 매우 고속인 응답 시간을 가져야 하는데, 예를 들어 완전히 닫힌 포지션 및 완전히 개방된 포지션 사이의 천이 시간은 대략 600 밀리초이다. 스로틀 밸브(24)는 스로틀 밸브의 동작을 제어하도록 제공되는 배기 밸브 제어기(28)를 포함하는데, 하지만 펄스 전달 프로세스의 단계의 모두를 제어하기 위하여 펄스 제어 밸브(18) 및 스로틀 밸브(24)의 개방 및 닫힘을 제어하도록 변형된다. 배기 밸브 제어기(28)는 사용자 인터페이스(32)를 통하여 호스트 컴퓨터(30), 또는 몇몇 다른 디바이스로부터 챔버(20) 내에서 수행되는 가스 전달 프로세스의 단계의 레시피의 처리를 위한 특정 레시피 명령을 수신할 수 있다. 이와 유사하게, 프로세스는 호스트 컴퓨터(30) 또는 다른 디바이스로부터의 사용자 인터페이스(32)를 통한 커맨드로써 개시될 수 있다. 스토리지 메모리(34)는 레시피의 상세 단계에 관련된 명령 및 데이터, 및 각각의 단계에 대한 스로틀 밸브의 근사적 포지션에 관련된 학습된 데이터를 저장하기 위하여 제공되는데, 이에 대한 필요성은 이제부터 더 명백해질 것이다. 메모리(34)는 밸브 제어기(28)의 내부 또는 외부에 있을 수 있는데, 하지만 프로세스 챔버(20) 내의 압력을 단계들의 저장된 레시피의 각각의 단계마다 제어하기 위하여 동작 밸브(24) 내의 사용에 대하여 전용이어야 한다. 각각의 레시피의 시퀀스를 저장하기 위하여 메모리(34)를 사용하면, 밸브 제어기(28)가 각각의 저장된 레시피의 각각의 단계에 따라서 호스트 컴퓨터(30)로부터 별개로 프로세스 챔버(20) 내의 압력 및 그로부터의 흐름을 제어하도록 한다.
배기 밸브 제어기(28)는 저장된 레시피를 수행하기 위하여, 모두 메모리(34) 내에 제공된 명령 단계에 따라서 압력 신호를 압력 센서(22)로부터 수신하고 밸브(18 및 24)를 제어하도록 접속된다. 따라서 배기 밸브 제어기(28)는(a) 각각의 가스 펄스의 지속기간을 센서(22)로부터 제공된 압력 판독치의 함수로서 레시피에 의하여 결정된 적합한 시퀀스 내의 적절한 밸브(18)의 열림 및 닫힘에 의하여, 그리고(b) 챔버 내의 가스의 압력을 스로틀 밸브의 밸브 몸체의 포지션을 제어함으로써 제어한다. 메모리(34) 내에 저장된 레시피 단계가 있으면, 프로세스를 조기에 끝내는 것이 필요하지 않다면, 배기 밸브 제어기는 사용자 또는 호스트 컴퓨터가 시스템과 더 상호작용할 필요가 없이 전체 프로세스를 사용자 인터페이스(32)를 통하여 제공된, 호스트 컴퓨터(30), 또는 다른 디바이스로부터의 단일 시작 커맨드로써 실행할 수 있다. 후자의 케이스에서 단일 중지 커맨드가 개시되고 배기 밸브 제어기로 제공될 수 있다. 이러한 접근법은 더 양호한 피드백을 제공하고, 또한 호스트 컴퓨터에 대한 컴퓨터 오버헤드의 양을 감소시키며, 사용자 인터페이스를 통한 사용자의 더 적은 상호작용을 야기한다.
많은 레시피가 시스템이 상대적으로 긴 시간, 예를 들어, 60 분 동안 연속적으로 실행하고 많은 수의 커맨드, 예를 들어, 1000 단계를 그 시간 프레임 내에 실행할 것을 요구할 수 있다. 긴 실행 시간, 그리고 실행 시간 동안의 시스템의 다양한 전기-머신 동작 때문에, 시스템 성능의 변동이 프로세스가 레시피의 단계의 모두를 통하여 진행할 때 발생할 수 있다. 이러한 변동은, 프로세스가 진행함에 따라 펄스 제어 밸브의 응답 시간에서의 변동, 다양한 이동 부품들에 축적되는 열에 기인한 프로세스 챔버 내의 압력 제어에서의 변동 등을 포함하는 다양한 인자에 기인하여 발생할 수 있다. 결과로서, 폐루프 시스템 제어만을 제공하면 레시피의 각각의 단계가 얼마나 빨리 수행될 수 있는지에 대해 제한을 부과할 수 있다는 것이 발견되었는데, 이것은 통상적 레시피는 시스템이 각각의 단계의 시작에서 재구성되도록 요구하여 특정 양의 세틀링 시간을 요구하기 때문이다.
이에 상응하여, 본 발명의 다른 양태는 가스 전달 프로세스를 부분적 개루프 제어 및 부분적 폐루프 제어를 포함하는 하이브리드 개루프 및 폐루프 제어 접근법을 사용하여 제어하는 것이다. 개루프 제어는 "트레이닝 실행(training run)" 도중에 그 전체로서 폐루프 제어된 시스템으로서 각각의 레시피의 규정된 시퀀스 내의 단계를 실행하고, 각각의 단계에 대한 밸브 몸체 포지션을 나타내는 데이터를 발생시킴으로써 이전에 생성된 데이터를 사용한다. 이러한 데이터는 메모리(34) 내에 저장될 수 있다. 레시피 프로세스 도중의 밸브(24)의 밸브 포지션의 제어에 대한 하이브리드 개루프 및 폐루프 접근법이 도 2 에 도시되는데, 여기에서 통상적 레시피의 두 개의 단계가 예로서 도시된다. 레시피의 각각의 이러한 단계의 시작시에, 시스템은 레시피의 특정 단계에 대한 밸브(28)의 밸브 몸체의 밸브 포지션 설정치가 메모리 내에 저장된 그 레시피의 단계에 대응하는 데이터에 기초하여 설정되고 종래의 트레이닝 실행 동안에 결정되는, 초기 개루프 제어 페이즈에서 동작한다. 정 포지션(in position)이 되면 시스템이 원하는 압력으로 수렴하여 그 단계를 완료하는 폐루프 제어 페이즈로 변경하도록 하기 이전에, 프로세스 단계는 시간의 선결정된 양 동안(전체 단계의 일부로서) 부분적으로 프로세스 챔버(20) 내의 압력의 함수로서 계속한다. 폐루프 제어 페이즈는 시스템이 그렇지 않으면 완전 폐루프 시스템 제어 기법으로써 얻어졌을 것보다 더 빠른 응답 시간에 정확한 값에 수렴하도록 하는데, 이것은 하이브리드 접근법이 밸브 몸체를 단계의 제1 페이즈 동안에 제2 폐루프 제어 페이즈를 시작하기 이전에 그 단계의 종료 포지션으로 더 가깝게 이동시키기 때문이다. 이러한 방식으로 폐루프 제어 동작 동안에 언더슈팅 또는 오버슈팅의 가능성이 줄어든다.
좀 더 상세하게 도 3 에서 도시되는 바와 같이, 각각의 단계에 대한 개루프 제어는 스토리지 메모리(34)의 룩업 테이블(100)로부터 밸브 포지션 및 시간 지속기간 정보를 룩업하는 것, 및 그 밸브 포지션 및 대응하는 지속기간을 나타내는 신호를 102 에 있는 밸브로 제공하는 것을 포함한다. 이것은, 각각의 단계의 제1 페이즈의 결과로서 챔버 압력을 획득하기 위하여, 104 에서 하이브리드 방법에 의하여 제어되는 각각의 프로세스 단계의 제1 페이즈 동안의 대응하는 시간 지속기간에 대한 실제 밸브 포지션을 제공한다.
도 4 에 도시되는 각각의 프로세스 단계의 폐루프 제어 페이즈는 입력(110)에서 합산 정션(112)으로 인가되는 압력 설정 포인트를 나타내는 입력 신호를 포함한다. 합산 정션(112)은 자신의 출력에서의 두 개 사이의 차분(압력 에러)을 나타내는 에러 신호를 제공하기 위하여, 챔버 내의 실제 압력을 나타내는 피드백 신호를 수신한다. 압력 에러를 나타내는 신호는 압력 제어기(114)의 입력에서 제공되는데, 이것은 밸브(116)의 밸브 포지션을 대응하는 값으로 설정하여 임의의 에러를 정정한다. 실제 밸브 포지션은 플랜트(118)로 제공되고, 이것은 이제 플랜트(118)의 실제 챔버 압력을 나타내는 신호를 제공한다. 플랜트(118)의 출력은 피드백 루프(120)를 통하여 피드백 신호를 합산 정션(112)으로 제공한다. 설명된 바와 같이, 하이브리드 제어를 요구하는 각각의 단계는 개루프 및 폐루프 제어 모두를 사용한다.
언급된 바와 같이, 각각의 레시피에 대하여 시스템은 데이터가 바람직하게는 개루프 제어를 위한 테이블의 형태로 스토리지 메모리 내에 저장되도록 요구한다. 데이터는 레시피의 트레이닝 실행 동안에 폐루프 제어로써 시스템을 실행함으로써 생성될 수 있다. 데이터가 획득되면, 시스템은 하이브리드 제어로써 동작할 수 있다.
도 5 는 펄스 가스 전달 프로세스 동안의 하이브리드 제어 하의 각각의 단계를 예시하는 흐름도를 도시한다. 도시된 바와 같이, 메모리(34) 내에 저장된 데이터를 가지고, 하이브리드 제어 프로세스는 단계(200)에서 시작한다. 프로세스는 제1 단계(202)에서 시작하여 하이브리드 제어를 요구하는 레시피의 각 단계를 따라 진행한다. 밸브가 단계의 제1 페이즈 동안에 개방되도록 요구되는 밸브 포지션 및 시간 지속기간에 관련된 데이터는 단계(204)에서 도시된 바와 같이 메모리(34)로부터 취출된다. 단계(206)에서, 레시피의 다음 단계에 대한 가스를 전달하기 위하여 사용되는 채널은 대응하는 밸브(18)(및 사용된다면 MFC(16))를 개방시킴으로써 선택되고 이네이블된다. 이때에, 시스템은 레시피의 프로세스 단계의 제1 페이즈에서 동작하도록 이네이블되고 이제 단계(208)에서 표시되는 바와 같이 진행할 수 있는데, 여기에서 시스템은 규정된 시간 동안 개루프 제어로써 동작한다. 규정된 시간이 종료되면, 시스템은 이제 단계(210)에서 루프 제어의 제2 페이즈로 진행하는데, 여기에서 배기 밸브 몸체는 필요할 경우 이동되고, 시스템은 그 단계의 제2 페이즈에 대하여 폐루프 제어로써 진행하도록 허용된다. 챔버 내의 압력은 폐루프 제어만을 사용하여 달성될 것보다 더 신속하게 설정 포인트에서 안정될 것인데, 이것은 많은 단계에서 폐루프 제어는 그 프로세스 단계의 제1 페이즈의 시작에서의 제어 소자의 포지셔닝에 후속하여 더 근접한 포지션에서 시작하기 때문이다. 시스템은 단계(212)에서 프로세스 단계가 완료되도록 대기하고 단계(214)로 진행한다. 그 프로세스의 하이브리드 제어의 마지막 단계가 완료되었는지 여부에 대한 결정이 이루어진다. 만일 그렇지 않으면 프로세스는 다시 결정하기 이전에 단계(202 내지 212)를 반복한다. 만일 시스템이 그 프로세스의 하이브리드 제어의 마지막 단계를 완료하였다면, 하이브리드 제어는 단계(216)에서 끝난다.
동작 시에, 다중채널 PGD 디바이스(10)에 대한 프로세스 단계가 호스트 제어기(30) 또는 다른 디바이스로부터 사용자 인터페이스(32)를 통하여 프로그램을 제어기(28)로 업로딩함으로써 배기 밸브 제어기(28)로 제공된다. 시스템은 트레이닝 실행에서 동작 중임으로써 데이터가 메모리 내에 저장될 수 있도록 한다. 제어기가 적합하게 프로그래밍되면, 시스템은 이제 하이브리드 제어 하에서 동작할 준비가 된다.
배기 밸브 제어기(28)는 데이터 및 명령을 채널의 각각을 구성하는 컴포넌트로 그리고 그로부터 제공하고, 또한 임의의 추가적 데이터 및 명령을 사용자 인터페이스/호스트 컴퓨터(28)로 그리고 그로부터 제공하도록 구성된다. 사용자 인터페이스/호스트 컴퓨터(30)는 임의의 적합한 디바이스, 예컨대 키보드 및 모니터를 포함하며 운영자가 PGD 시스템(10)을 동작시킬 수 있도록 구성되는 컴퓨터일 수 있다. 호스트 컴퓨터가 그 툴을 동작시키기 위하여 사용되는 컴퓨터인 경우, 단계의 시퀀스를 실행시키는 전용 제어기를 사용하는 것이 호스트 컴퓨터의 동작 오버헤드를 비움으로써 이것이 더 효율적으로 동작하도록 한다는 것이 명백할 것이다.
청구항의 범위에서 벗어나지 않으면서 설명된 실시예에 다양한 변화가 이루어질 수 있다는 것이 명백할 것이다. 예를 들어, 설명된 실시예가 툴의 챔버 내의 압력, 및 스로틀 밸브의 포지션을 제어하기 위하여 밸브 제어기를 사용하는 반면에, 밸브 제어기는 대량 흐름 제어기(16A 내지 16N), 및 제어 밸브(18A 내지 18N)를 제어하기 위해서도 역시 사용될 수 있다. 이러한 배치구성물로써, 밸브 제어기는 전체 레시피 실행에 대하여 시작 및 중지 커맨드만을 발행하면 되며, 이것은 밸브 제어기에 의하여 제어된다.
논의된 컴포넌트, 단계, 피쳐, 오브젝트, 이점 및 장점은 단지 예시일 뿐이다. 이들 중 어느 것도 또한 이들에 관련된 어떤 논의도 어떠한 방법으로도 보호 범위를 제한하려고 의도되지 않는다. 다수의 다른 실시예도 역시 고찰된다. 이것은 더 적은, 추가적인, 및/또는 상이한 컴포넌트, 단계, 피쳐, 오브젝트, 이점 및 장점을 가지는 실시예를 포함한다. 또한 이것은 컴포넌트 및/또는 단계가 상이하게 구현 및/또는 순서결정되는 실시예를 포함한다.
그렇지 않다고 진술되지 않는 한, 후속하는 청구항 내에 있는 것을 포함하여 본 명세서에서 진술되는 모든 측정치, 값, 등급(ratings), 포지션, 크기, 사이즈, 및 다른 사양은 정확한 것이 아니고 근사치이다. 이들은 그들이 관련되며 당업계에서 통상적인 기능과 일관적인 타당한 범위를 가지도록 의도된다.
본 개시물에서 인용된 바 있는 모든 문헌, 특허, 특허 출원, 및 다른 공개 문서는 여기에서 원용에 의해 본 명세서에 포함된다.
청구항에서 사용될 경우 어구 "~하기 위한 수단"은 설명된 바와 같은 대응하는 구조 및 물질 및 그들의 균등물을 포함하는 것으로 의도되고 해석되어야 한다. 이와 유사하게, 청구항에서 사용될 경우 어구 "~하기 위한 단계"는 설명된 바와 같은 대응하는 동작 및 그들의 균등물을 포함하는 것으로 의도되고 해석되어야 한다. 청구항에 이러한 어구가 없다는 것은 대응하는 구조, 물질, 또는 동작으로 또는 그들의 균등물로 제한될 것으로 의도되지 않으며 그렇게 제한되는 것으로 해석되어서는 안 된다.
청구항에 인용되는 지와 무관하게, 언급되거나 예시된 바 있는 어느 것도 임의의 컴포넌트, 단계, 피쳐, 오브젝트, 이점, 장점, 또는 균등물을 공중의 재산으로 바치는 것을 야기하는 것으로 의도되거나 해석되어서는 안 된다.
보호 범위는 오직 이제 후속하는 청구항에 의해서만 한정된다. 그 범위는 , 본 명세서 및 후속하는 심사 이력에 비추어 해석될 때의 청구항에서 사용된 언어의 의미와 일관되는 정도로 넓게 그리고 모든 구조적 및 기능성 균등물을 망라하는 것으로 의도되며 해석되어야 한다.

Claims (30)

  1. 가스 전달 프로세스의 단계의 선결정된 레시피에 따라서 적어도 두 개의 가스의 규정된 양의 펄스의 시퀀스를 프로세스 툴로 전달하는 가스 전달 시스템으로서,
    대응하는 채널을 통하여 상기 프로세스 툴 내로 흘러가는 가스의 각각의 펄스를 제어하도록 접속된 제어 밸브를 각각 포함하는 복수 개의 채널; 및
    상기 프로세스 툴 내의 압력을 제어하기 위한 배기 밸브로서, 제어 밸브 및 상기 배기 밸브를 포함하는 상기 가스 전달 시스템의 동작을 단계의 선결정된 레시피에 따라서 제어하도록 구성되는 밸브 제어기를 포함하는, 배기 밸브를 포함하는, 가스 전달 시스템.
  2. 제1 항에 있어서,
    상기 가스 전달 시스템은 복수 개의 대량 흐름 제어기(mass flow controller) 및 펄스 제어 밸브를 포함하고, 상기 밸브 제어기는 또한 상기 대량 흐름 제어기 및 펄스 제어 밸브의 동작을 제어하도록 구성되는, 가스 전달 시스템.
  3. 제1 항에 있어서,
    상기 툴은 호스트 컴퓨터를 포함하는 타입의 것이며, 상기 펄스 가스 전달 프로세스는 호스트 컴퓨터에 의하여 상기 배기 밸브 제어기로 제공되는 명령에 의하여 개시되는, 가스 전달 시스템.
  4. 제1 항에 있어서,
    전용 다중 채널 제어기에 커플링되는 사용자 인터페이스를 더 포함함으로써, 상기 펄스 가스 전달 프로세스가 상기 사용자 인터페이스를 통하여 상기 배기 밸브 제어기로 제공되는 명령에 의하여 개시되도록 하는, 가스 전달 시스템.
  5. 제1 항에 있어서,
    상기 배기 밸브 제어기는 상기 가스 전달 프로세스 동안에 상기 시스템의 개방 피드백 루프 제어 및 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 구성되는, 가스 전달 시스템.
  6. 제1 항에 있어서,
    상기 배기 밸브 제어기는 상기 가스 전달 프로세스의 각각의 단계 동안에 상기 시스템의 개방 피드백 루프 제어 및 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 구성되는, 가스 전달 시스템.
  7. 제1 항에 있어서,
    상기 배기 밸브 제어기는, 상기 배기 밸브가 상기 가스 전달 프로세스의 각각의 단계에 대한 과거 학습된 포지션에 기초하여 미리선택된 포지션에 설정되는 개방 피드백 루프 제어 및 상기 개루프 제어에 후속하여 상기 프로세스 툴 내의 압력의 함수로서의, 상기 가스 전달 프로세스의 각각의 단계에 대한 상기 시스템의 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 구성되는, 가스 전달 시스템.
  8. 제 7 항에 있어서,
    상기 배기 밸브는 상기 프로세스 툴 내의 압력을 제어하도록 구성되는 스로틀 밸브이고, 상기 배기 밸브 제어기는 상기 프로세스 툴 내의 압력을 제어하기 위하여 신호를 제공하여 스로틀 밸브의 개방된 포지션을 개방시키고 정밀하게 제어하도록 구성되는, 가스 전달 시스템.
  9. 제7 항에 있어서,
    상기 배기 밸브는 각각의 단계의 개루프 제어 동안에 저장된 데이터의 함수로서, 그리고 각각의 단계의 폐루프 제어 동안에 상기 프로세스 툴 내의 압력의 함수로서 포지셔닝되는, 가스 전달 시스템.
  10. 제9 항에 있어서,
    상기 저장된 데이터는 단계의 상기 레시피에 따라서 상기 시스템의 종래의 동작으로부터 획득되는, 가스 전달 시스템.
  11. 프로세스 챔버를 포함하는 프로세스 툴 시스템, 및 가스의 규정된 양의 펄스의 시퀀스를 프로세스 툴로 전달하기 위한 펄스 가스 전달 시스템의 조합으로서, 상기 펄스 가스 전달 시스템은,
    대응하는 채널을 통하여 상기 프로세스 챔버 내로 흘러가는 가스의 각각의 펄스를 제어하도록 접속된 제어 밸브를 각각 포함하는 복수 개의 채널; 및
    상기 프로세스 챔버 내의 압력을 제어하기 위한 배기 밸브로서, 상기 제어 밸브 및 상기 배기 밸브를 포함하는 상기 프로세스 툴 시스템의 동작을 단계의 선결정된 레시피에 따라서 제어하도록 구성되는 밸브 제어기를 포함하는, 배기 밸브를 포함하는, 조합.
  12. 제 11 항에 있어서,
    상기 가스 전달 시스템은 복수 개의 대량 흐름 제어기 및 펄스 제어 밸브를 포함하고, 상기 밸브 제어기는 또한 상기 대량 흐름 제어기 및 펄스 제어 밸브의 동작을 제어하도록 구성되는, 조합.
  13. 제 11 항에 있어서,
    상기 프로세스 툴 시스템은 호스트 컴퓨터를 포함하는 타입의 것이며, 상기 펄스 가스 전달 프로세스는 호스트 컴퓨터에 의하여 상기 배기 밸브 제어기로 제공되는 명령에 의하여 개시되는, 조합.
  14. 제 11 항에 있어서,
    전용 다중 채널 제어기에 커플링되는 사용자 인터페이스를 더 포함함으로써, 상기 펄스 가스 전달 프로세스가 상기 사용자 인터페이스를 통하여 상기 배기 밸브 제어기로 제공되는 명령에 의하여 개시되도록 하는, 조합.
  15. 제 11 항에 있어서,
    상기 배기 밸브 제어기는 상기 가스 전달 프로세스 동안에 상기 시스템의 개방 피드백 루프 제어 및 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 구성되는, 조합.
  16. 제 11 항에 있어서,
    상기 배기 밸브 제어기는 상기 가스 전달 프로세스의 각각의 단계 동안에 상기 시스템의 개방 피드백 루프 제어 및 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 구성되는, 조합.
  17. 제 11 항에 있어서,
    상기 배기 밸브 제어기는, 상기 배기 밸브가 상기 가스 전달 프로세스의 각각의 단계에 대한 과거 학습된 포지션에 기초하여 미리선택된 포지션에서 설정되는 개방 피드백 루프 제어 및 상기 개루프 제어에 후속하여 상기 프로세스 챔버 내의 압력의 함수로서의, 상기 가스 전달 프로세스의 각각의 단계에 대한 상기 시스템의 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 구성되는, 조합.
  18. 제 17 항에 있어서,
    상기 배기 밸브는 상기 프로세스 챔버 내의 압력을 제어하도록 구성되는 스로틀 밸브 이고, 상기 배기 밸브 제어기는 상기 프로세스 챔버 내의 압력을 제어하기 위하여 신호를 제공하여 스로틀 밸브를 개방시키고 이의 개방 포지션을 정밀하게 제어하도록 구성되는, 조합.
  19. 제 17 항에 있어서,
    상기 배기 밸브는 각각의 단계의 개루프 제어 동안에 저장된 데이터의 함수로서, 그리고 각각의 단계의 폐루프 제어 동안에 상기 프로세스 챔버 내의 압력의 함수로서 포지셔닝되는, 조합.
  20. 제 19 항에 있어서,
    상기 저장된 데이터는 단계의 상기 레시피에 따라서 상기 시스템의 종래의 동작으로부터 획득되는, 조합.
  21. 가스의 규정된 양의 펄스의 시퀀스를 레시피의 단계에 따라서 프로세스 툴의 프로세스 챔버로, 복수 개의 채널로서, 대응하는 채널을 통하여 상기 프로세스 툴의 프로세스 챔버로 흘러가는 가스의 각각의 펄스의 지속기간을 제어하도록 접속되는 제어 밸브를 각각 포함하는 복수 개의 채널; 및 상기 프로세스 챔버 내의 압력을 제어하기 위한 배기 밸브로서, 상기 제어 밸브 및 배기 밸브를 포함하는 상기 펄스 가스 전달 시스템의 동작을 단계의 선결정된 레시피에 따라서 제어하기 위한 밸브 제어기를 포함하는, 배기 밸브를 포함하는 펄스 가스 전달 시스템을 사용하여 전달하는 방법으로서,
    상기 제어기가 (a) 상기 배기 밸브의 제어 소자의 포지션을 제어함으로써 상기 챔버 내의 압력을, 및 (b) 가스의 펄스가 단계의 레시피에 따라서 선결정된 시퀀스로 상기 프로세스 툴로 제공될 수 있도록 상기 채널의 각각의 상기 제어 밸브의 개방 및 닫힘을 제어하게 하도록 상기 밸브 제어기를 구성하는 단계를 포함하는, 방법.
  22. 제 21 항에 있어서,
    상기 가스 전달 시스템은 복수 개의 대량 흐름 제어기 및 펄스 제어 밸브를 포함하고,
    상기 구성하는 단계는 상기 밸브 제어기가 상기 대량 흐름 제어기 및 펄스 제어 밸브의 동작을 단계의 상기 레시피에 따라서 제어하도록 상기 밸브 제어기를 구성하는 단계를 포함하는, 방법.
  23. 제 21 항에 있어서,
    펄스 가스 전달 프로세스를 호스트 컴퓨터에 의하여 상기 배기 밸브 제어기로 제공되는 명령으로써 개시하는 단계를 더 포함하는, 방법.
  24. 제 23 항에 있어서,
    상기 배기 밸브 제어기에 커플링된 사용자 인터페이스를 통하여 상기 펄스 가스 전달 프로세스를 개시하는 단계를 더 포함하는, 방법.
  25. 제 21 항에 있어서,
    상기 가스 전달 프로세스 동안에 상기 시스템의 개방 피드백 루프 제어 및 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 상기 밸브 제어기를 구성하는 단계를 더 포함하는, 방법.
  26. 제 21 항에 있어서,
    상기 가스 전달 프로세스의 각각의 단계 동안에 상기 시스템의 개방 피드백 루프 제어 및 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 상기 배기 밸브 제어기를 구성하는 단계를 포함하는, 방법.
  27. 제 21 항에 있어서,
    상기 배기 밸브가 상기 가스 전달 프로세스의 각각의 단계에 대한 과거 학습된 포지션에 기초하여 미리선택된 포지션에서 설정되는 개방 피드백 루프 제어 및 상기 개루프 제어에 후속하여 상기 프로세스 챔버 내의 압력의 함수로서의, 상기 가스 전달 프로세스의 각각의 단계에 대한 상기 시스템의 닫힌 피드백 루프 제어 모두를 포함하는 하이브리드 피드백 모드에서 동작하도록 상기 밸브 제어기를 구성하는 단계를 더 포함하는, 방법.
  28. 제 27 항에 있어서,
    상기 배기 밸브는 스로틀 밸브이고,
    상기 프로세스 챔버 내의 압력을 제어하기 위하여 신호를 제공하여 스로틀 밸브의 개방 포지션을 정밀하게 제어하도록 상기 밸브 제어기를 구성하는 단계를 포함하는, 방법.
  29. 제 27 항에 있어서,
    상기 가스 전달 프로세스의 각각의 단계의 상기 개루프 제어 동안에 상기 배기 밸브를 저장된 데이터의 함수로서 포지셔닝하고, 이제 상기 가스 전달 프로세스의 각각의 단계의 상기 폐루프 제어 동안에 설정 포인트의 함수로서 상기 밸브의 포지션을 제어하는 단계를 더 포함하는, 방법.
  30. 제 29 항에 있어서,
    단계의 상기 레시피에 따라서 폐루프 제어에서 동작 중인 상기 시스템의 종래의 동작으로부터 상기 저장된 데이터를 획득하는 단계를 더 포함하는, 방법.
KR1020147003266A 2011-07-28 2012-07-26 시간-다중화된 딥 반응성-이온 에칭 프로세스를 위한 제어 시스템 및 그 방법 KR20140040851A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/193,393 US20130025786A1 (en) 2011-07-28 2011-07-28 Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes
US13/193,393 2011-07-28
PCT/US2012/048338 WO2013016532A1 (en) 2011-07-28 2012-07-26 Systems for and methods of controlling time-multiplexed deep reactive-ion etching processes

Publications (1)

Publication Number Publication Date
KR20140040851A true KR20140040851A (ko) 2014-04-03

Family

ID=46651604

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147003266A KR20140040851A (ko) 2011-07-28 2012-07-26 시간-다중화된 딥 반응성-이온 에칭 프로세스를 위한 제어 시스템 및 그 방법

Country Status (4)

Country Link
US (1) US20130025786A1 (ko)
KR (1) KR20140040851A (ko)
TW (1) TW201320185A (ko)
WO (1) WO2013016532A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11891693B2 (en) 2018-06-01 2024-02-06 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing

Families Citing this family (297)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US10353408B2 (en) 2011-02-25 2019-07-16 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10126760B2 (en) * 2011-02-25 2018-11-13 Mks Instruments, Inc. System for and method of fast pulse gas delivery
US10031531B2 (en) 2011-02-25 2018-07-24 Mks Instruments, Inc. System for and method of multiple channel fast pulse gas delivery
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101418733B1 (ko) * 2012-10-31 2014-08-13 크린팩토메이션 주식회사 반도체 웨이퍼 제조 시스템에서 에스티비에 불활성 가스를 공급하는 방법 및 이를 이용한 반도체 웨이퍼 제조 시스템
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) * 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
KR102474052B1 (ko) * 2018-01-15 2022-12-02 어플라이드 머티어리얼스, 인코포레이티드 반도체 제조 생산성을 위한 진보된 온도 모니터링 시스템 및 방법들
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10725484B2 (en) * 2018-09-07 2020-07-28 Mks Instruments, Inc. Method and apparatus for pulse gas delivery using an external pressure trigger
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
JP7238461B2 (ja) * 2019-02-25 2023-03-14 株式会社島津製作所 バルブ制御装置および真空バルブ
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
CN112230452B (zh) * 2019-07-15 2023-09-19 咸阳彩虹光电科技有限公司 一种基板抽检方法
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US20220113198A1 (en) * 2020-10-14 2022-04-14 Applied Materials, Inc. Advanced temperature monitoring system with expandable modular layout design
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5983906A (en) * 1997-01-24 1999-11-16 Applied Materials, Inc. Methods and apparatus for a cleaning process in a high temperature, corrosive, plasma environment
JP2002297244A (ja) * 2001-04-03 2002-10-11 Matsushita Electric Ind Co Ltd 反応室の圧力制御方法および装置
JP3985899B2 (ja) * 2002-03-28 2007-10-03 株式会社日立国際電気 基板処理装置
JP4361747B2 (ja) * 2003-03-04 2009-11-11 東京エレクトロン株式会社 薄膜の形成方法
KR101416781B1 (ko) * 2003-03-14 2014-07-08 아익스트론 인코포레이티드 원자 층 증착을 위한 방법 및 장치
EP1649076B1 (en) 2003-06-27 2010-05-19 Sundew Technologies, LLC Apparatus and method for chemical source vapor pressure control
US7628861B2 (en) 2004-12-17 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US7628860B2 (en) 2004-04-12 2009-12-08 Mks Instruments, Inc. Pulsed mass flow delivery system and method
US20060060139A1 (en) 2004-04-12 2006-03-23 Mks Instruments, Inc. Precursor gas delivery with carrier gas mixing
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
US7036794B2 (en) * 2004-08-13 2006-05-02 Vat Holding Ag Method for control of a vacuum valve arranged between two vacuum chambers
US20060130755A1 (en) 2004-12-17 2006-06-22 Clark William R Pulsed mass flow measurement system and method
US7735452B2 (en) 2005-07-08 2010-06-15 Mks Instruments, Inc. Sensor for pulsed deposition monitoring and control
US7438534B2 (en) * 2005-10-07 2008-10-21 Edwards Vacuum, Inc. Wide range pressure control using turbo pump
JP5050369B2 (ja) * 2006-03-06 2012-10-17 東京エレクトロン株式会社 処理装置
WO2008018545A1 (fr) * 2006-08-11 2008-02-14 Hitachi Kokusai Electric Inc. Appareil de traitement de substrat et procédé de fabrication d'un dispositif semi-conducteur
JP4928893B2 (ja) * 2006-10-03 2012-05-09 株式会社日立ハイテクノロジーズ プラズマエッチング方法。
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
JP5576101B2 (ja) * 2008-12-25 2014-08-20 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20110039034A1 (en) * 2009-08-11 2011-02-17 Helen Maynard Pulsed deposition and recrystallization and tandem solar cell design utilizing crystallized/amorphous material
JP2011044446A (ja) * 2009-08-19 2011-03-03 Tokyo Electron Ltd 圧力制御機器、圧力制御方法および基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11891693B2 (en) 2018-06-01 2024-02-06 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing

Also Published As

Publication number Publication date
TW201320185A (zh) 2013-05-16
US20130025786A1 (en) 2013-01-31
WO2013016532A1 (en) 2013-01-31

Similar Documents

Publication Publication Date Title
KR20140040851A (ko) 시간-다중화된 딥 반응성-이온 에칭 프로세스를 위한 제어 시스템 및 그 방법
US10969799B2 (en) System for and method of fast pulse gas delivery
KR102596243B1 (ko) 다중 채널 펄스 가스 전달 방법 및 장치
US10353408B2 (en) System for and method of fast pulse gas delivery
US10031531B2 (en) System for and method of multiple channel fast pulse gas delivery
WO2019152301A1 (en) Method and apparatus for pulse gas delivery with isolation valves
JP6923693B2 (ja) 高速パルスガス給送システムおよび方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application