KR20140016855A - 포토레지스트 조성물 및 포토리소그래픽 패턴의 형성 방법 - Google Patents

포토레지스트 조성물 및 포토리소그래픽 패턴의 형성 방법 Download PDF

Info

Publication number
KR20140016855A
KR20140016855A KR1020130091080A KR20130091080A KR20140016855A KR 20140016855 A KR20140016855 A KR 20140016855A KR 1020130091080 A KR1020130091080 A KR 1020130091080A KR 20130091080 A KR20130091080 A KR 20130091080A KR 20140016855 A KR20140016855 A KR 20140016855A
Authority
KR
South Korea
Prior art keywords
photoresist composition
layer
polymer
photoresist
monomer
Prior art date
Application number
KR1020130091080A
Other languages
English (en)
Other versions
KR102182234B1 (ko
Inventor
박종근
크리스토퍼 남 이
세실리 안데스
데얀 왕
Original Assignee
롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. filed Critical 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨.
Publication of KR20140016855A publication Critical patent/KR20140016855A/ko
Application granted granted Critical
Publication of KR102182234B1 publication Critical patent/KR102182234B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

네가티브 톤 현상 공법에 의해 포토리소그래픽 패턴을 형성시키는데 유용한 포토레지스트 조성물이 제공된다. 네가티브 톤 현상 공법에 의해 포토리소그래픽 패턴을 형성시키는 방법 및 상기 포토레지스트 조성물로 코팅된 기판이 또한 제공된다. 본 발명의 포토레지스트 조성물은 염기성 잔기를 함유하며 레지스트의 수지 성분과 실질적으로 비-혼화성인 첨가적 폴리머 1종 이상을 포함한다. 본 발명의 조성물, 방법 및 코팅된 기판은 반도체 소자의 제조에 있어서 특정의 적용성이 있다.

Description

포토레지스트 조성물 및 포토리소그래픽 패턴의 형성 방법{PHOTORESIST COMPOSITIONS AND METHODS OF FORMING PHOTOLITHOGRAPHIC PATTERNS}
본 발명은 일반적으로 전자장치의 제조에 관한 것이다. 더욱 상세하게, 본 발명은 포토레지스트 조성물 및 네가티브 톤 현상 공법을 사용하여 미세 패턴을 형성시키는 포토리소그래프 공정에 관한 것이다.
재료 및 공정 둘다의 관점으로부터 침지 리소그래피(immersion lithography)에 있어 포지티브 톤 현상의 실현 가능한 해상능력을 확대시키기 위하여 상당한 노력이 있었다. 그러한 예 중 하나는 임계적 암시야층(dark field layers)을 인쇄하기 위하여 명시야 마스크(bright field masks)로 수득한 우수한 이미지 품질의 사용을 감안하는 이미지 반전 기술인, 네가티브 톤 현상(NTD)을 포함한다. NTD 레지스트는 전형적으로 산-불안정기를 갖는 수지와 광산 발생제를 사용한다. 화학 방사선에 노광되면 광산 발생제는 산을 형성시키며, 이는 노광후 베이킹 중, 수지중의 산-불안정기의 분해를 일으킨다. 그 결과, 레지스트의 노광된 영역과 비노광된 영영간에 유기 현장제에서의 용해도 특성에 있어 차이가 발생되어 레지스트의 비노광 영역이 현상제에 의해 제거되고, 불용성 노광 영역에 의해 생성된 패턴만 남게 된다. 그러한 공법이 예를 들어, 미국 특허 제6,790,579호(Goodall et al.)에 기재되어 있다. 상기 기재된 레지스트 화학의 경우, 레지스트층의 노광 영역이 알칼리성 현상제에 의해 선택적으로 제거될 수 있거나, 달리, 비노광 영역이 네가티브 톤 현상에 적합한 비극성 용매를 사용한 처리에 의해 선택적으로 제거될 수 있다.
본 발명자들은 NTD 공법에서 포토레지스트의 표면 억제가 현상된 레지스트 패턴에서 라인과 트렌치 패턴에 콘택트홀(contact hole) 또는 "T-탑핑(topping)"의 "네킹(necking)"을 일으킬 수 있음을 발견하였다. 이 효과는 기판(1), 패턴화된시킬 층(2)와 패턴화된 포토레지스트층(3)을 나타내는, 도 1에 설명되어 있다. 포토레지스트 노광 중, 극성-스위치된 영역은 불투명한 포토마스크 패턴의 엣지부(edge portions) 아래에 있는 레지스트 표면의 영역(4)쪽으로 바람직하지 못하게 확장된다. 이는 불투명한 마스크 패턴의 엣지 아래로 미광(stray light)이 확산된 결과일 것으로 생각된다. 유기 현상제를 사용한 현상 중, 포토레지스트층의 비노광된 (스위치되지 않은) 영역이 제거되어 콘택트홀 패턴(5)가 형성된다. 상기 생성된 패턴은 극성-스위치되는 레지스트 영역(4)이 제거되지 않는 레지스트층 상부 표면에서 네킹을 나타낸다. 네킹과 T-탑핑이 발생하게 되면 일반적으로 초점심도와 노광범위를 포함한 공정 윈도우가 불량해진다. 이러한 문제점들은 좁은 트렌치 또는 라인 패턴 형성의 경우 예를 들어, 콘택트홀을 랜덤하게 사라지게 하거나 미세-브리지 결함을 일으킬 수 있어, 소자수율(device yield)에 부정적이 영향을 줄 수 있다.
Bae 등의 미국 특허출원 공보 US2011/0294069A에는 산에 감응성인 매트릭스 폴리머, 제1 폴리머의 표면 에너지 보다 더 낮은 표면 에너지를 갖는 특정의 첨가적 폴리머, 광산 발생제 및 용매를 포함하는 포토레지스트 조성물이 개시되어 있다. 상기 문헌이 표면 억제와 관련한 문제점을 인식하고 있지만, 이 문제에 대해 추가적인 개선된 해결책이 필요하다.
전자장치 제작시 미세 패턴의 형성을 고려하고 당해 분야의 기술 상태와 관련한 하나 이상의 문제점에 맞춘 네가티브 톤 현상을 위한 개량된 조성물 및 포토리소그래프법에 대한 필요성이 당해 분야에서 계속되고 있다.
본 발명의 첫번째 양태에 따라서, 포토레지스트 조성물이 제공된다. 본 발명의 포토레지스트 조성물은 산불안정기를 포함하는 제1 폴리머; 다음 화학식(I)을 갖는 제1 모노머로부터 형성된 제1 유니트, 및 염기성 잔기를 갖는 제2 모노머로부터 형성된 제2 유니트를 포함하는 제2 폴리머 (여기서 상기 제1 모노머와 제2 모노머가 상이하고, 제2 폴리머는 산-불안정기가 없고 상기 제2 폴리머의 표면 에너지는 상기 제1 폴리머의 표면 에너지보다 더 낮다); 광산 발생제; 및 용매를 포함하는 포토레지스트 조성물이다:
[화학식 1]
Figure pat00001
상기 식에서
P는 중합가능한 작용기이고,
R1은 치환 및 비치환된 C1 내지 C20 직쇄, 분지 및 환식 탄화수소로부터 선택되며,
Z는 치환 및 비치환된 직쇄 또는 분지된 지방족 및 방향족 탄화수소, 및 이들의 조합으로부터 선택되는 스페이서 유니트로, 임의로 -O-, -S- 및 -COO-로부터 선택되는 결합 잔기 1개 이상을 가지며,
n은 0 내지 5의 정수이다.
추가적인 양태에 따라서, 코팅된 기판이 제공된다. 상기 코팅된 기판은 기판과 기판의 표면 위에 본 발명의 포토레지스트 조성물층을 포함한다.
추가적인 양태에 따라서, 포토리소그래픽 패턴의 형성 방법이 제공된다. 이 방법은 (a) 기판의 표면 위에 패턴화시킬 층 1개 이상을 포함하는 기판을 제공하는 단계; (b) 패턴화시킬 1개 이상의 층 위에 본 발명의 포토레지스트 조성물층을 도포하는 단계; (c) 상기 포토레지스트 조성물층을 화학 방사선에 패턴식으로 노광시키는 단계; (d) 상기 노광시킨 포토레지스트 조성물층을 노광후 베이크 공정에서 가열하는 단계; 및 (e) 상기 포토레지스트 조성물층에 현상제를 도포하는 단계로, 이때 상기 포토레지스트층의 비노광 영역이 현상제에 의해 제거되어, 패턴화시킬 층 1개 이상 위에 포토레지스트 패턴이 남게 되는 단계를 포함한다. 상기 패턴식 노광(patternwise exposing)은 침지 리소그래피에 의해 수행될 수 있거나, 달리, 건식 노광 기술을 사용하여 수행될 수 있다.
추가적인 양태에 따라서, 상기한 네가티브 톤 현상 공법에 의해 형성된 전자장치가 제공된다.
본 명세서에 사용되는 바와 같은 관사 "a" 및 "an"은 표현에 의해 또는 문장에 의해 달리 표시되지 않는 한 하나 이상을 포함한다.
본 발명은 다음 도면을 참고로 하여 논의되며, 여기서 유사한 참고 숫자는 유사한 피쳐를 나타낸다:
도 1은 관련 분야에 따르는 콘택트홀 포토레지스트 패턴을 설명하며;
도 2는 본 발명에 따르는 포토리소그래픽 패턴을 형성시키기 위한 공정 흐름을 설명한다.
본 발명의 포토레지스트 조성물은 네가티브 톤 현상 공법에 사용하기에 특히 적합하다. 네가티브 톤 현상 공법에 사용시 특히 바람직한 포토레지스트 조성물은 개선된 초점범위와 노광범위, 기하학적으로 균일한 라인과 콘택트홀과 같은 레지스트 패턴을 가지며, 결함이 감소된 것 또는 더욱 바람직한 것을 제공한다. 상기 첨가적 폴리머는 포토레지스트 조성물의 코팅 과정 중 레지스트 코팅층의 상부 표면쪽으로 이동하여, 실질적으로 첨가적 폴리머로 이루어진 표면층을 형성한다. 상기 첨가적 폴리머의 염기성 잔기는 산란광 또는 미광을 제어하여, 라인 및 트렌치 패턴 형성의 경우 사라지는 콘택트홀과 미세-브리지 결함과 같은 패턴화 결함을 감소시키는 것으로 생각된다. 노광 및 노광후 베이크(PEB)에 이어서, 레지스트 코팅층이 유기 용매를 포함하는 현상제 중에서 현상된다. 상기 현상제는 포토레지스트층의 비노광 영역과 노광된 영역의 표면을 제거한다. 본 발명의 포토레지스트 조성물의 잇점은 건식 리소그래피 또는 침지 리소그래피 공법에 본 조성물을 사용할 때 실현될 수 있다. 침지 리소그래피에 사용시, 바람직한 포토레지스트 조성물은 또한 포토레지스트 물질이 침지액중으로 이동(침출)하는 것이 감소되고, 그 결과 첨가적 폴리머가 레지스트 표면으로 이동되는 것이 감소될 수 있다. 이는 포토레지스트 위에 탑코트층을 사용하지 않고도 확실하게 성취될 수 있다.
본 발명의 포토레지스트는 다양한 방사선 파장, 예를 들어, 400nm 이하, 300 또는 200nm 이하의 파장에서 사용될 수 있으며, 248nm, 193nm 및 EUV(예, 13.5nm) 노광 파장이 바람직하다. 본 발명의 조성물은 또한 전자빔(E-beam) 노광 공법에 사용될 수 있다.
본 발명의 포토레지스트 조성물은 화학적으로 증폭된 물질이다. 본 조성물은 산불안정기를 포함하는 매트릭스 폴리머 1종 이상을 포함한다. 상기 산불안정기는 산의 존재하에서 탈보호 반응을 즉시 일으키는 화학잔기이다. 본 발명의 포토레지스트 조성물의 층의 일부로서 상기 매트릭스 폴리머는 소프트베이크, 활성화 방사선에 노광 및 노광후 베이크에 따라 광산 발생제로부터 발생된 산과 반응한 결과 본 명세서에 기재된 현상제중에서 용해도가 변화된다. 이는 광산-유발된 산불안정기의 분해로부터 발생되며, 매트릭스 폴리머의 극성에 변화를 일으킨다. 상기 산불안정기는 예를 들어, 3급 알킬 카르보네이트, 3급 알킬 에스테르, 3급 알킬 에테르, 아세탈 및 케탈로부터 선택될 수 있다. 바람직하게는, 상기 산불안정기가 매트릭스 폴리머의 에스테르의 카르복실 산소에 공유결합식으로 결합되어 있는 3급 비-환식 알킬 탄소 또는 3급 지환식 탄소를 함유하는 에스테르기이다. 그러한 산불안정기가 분해됨으로써 카르복실산기가 형성된다. 적합한 산불안정기 함유 유니트의 예로는, 산-불안정한(알킬)아크릴레이트 유니트, 예로서 t-부틸(메트)아크릴레이트, 1-메틸사이클로펜틸(메트)아크릴레이트, 1-에틸사이클로펜틸(메트)아크릴레이트, 1-이소프로필사이클로펜틸(메트)아크릴레이트, 1-프로필사이클로펜틸(메트)아크릴레이트, 1-메틸사이클로헥실(메트)아크릴레이트, 1-에틸사이클로헥실(메트)아크릴레이트, 1-이소프로필사이클로헥실(메트)아크릴레이트, 1-프로필사이클로헥실(메트)아크릴레이트, t-부틸메틸아다만틸(메트)아크릴레이트, 에틸펜칠(메트)아크릴레이트 등, 및 기타 환식 (지환식 포함), 및 비-환식(알킬)아크릴레이트가 있다. 아세탈 및 케탈 산불안정기는 알킬리-가용성기, 예로서 카르복실기 또는 하이드록실기의 말단에서 수소 원자로 치환되어 산소 원자와 결합될 수 있다. 산이 발생될 때, 산은 상기 아세탈 또는 케탄기와 산소 원자 사이의 결합을 분열시키는데, 여기서 상기 산소 원자에 아세탈-타입 산-분해가능한, 분해-억제기가 결합된다. 그러한 산불안정기의 예가 예를 들어, 미국특허 . US6057083, US6136501 및 US8206886과 유럽특허공보 EP01008913A1 및 EP00930542A1에 기재되어 있다. 당 유도체 구조의 일부로서 아세탈 및 케탈기가 또한 적합한데, 이의 분해로 하이드록실기가 형성되며, 이들은 미국 특허출원 공보 US2012/0064456A1에 기재되어 있다.
248nm와 같이 200nm 이상의 파장인 경우, 적합한 수지 물질의 예로, 산불안정기를 함유하는 페놀계 수지가 있다. 이 부류의 특히 바람직한 수지로 (i) 상기한 바와 같은 비닐 페놀과 산불안정한 (알킬)아크릴레이트의 중합 유니트를 함유하는 폴리머, 예로서 미국 특허 제6,042,997호 및 제5,492,793호에 기재되어 있는 폴리머; (ii) 비닐 페놀, 하이드록시 또는 카르복시 환 치환체를 함유하지 않는, 임의로 치환된 비닐 페닐 (예, 스티렌), 및 상기한 바와 같은 산 불안정한 (알킬)아크릴레이트의 중합 유니트를 함유하는 폴리머, 예로서 미국 특허 제6,042,997호에 기재된 폴리머; (iii) 광산과 반응하는 아세탈 또는 케탈 잔기를 포함하는 반복 유니트, 및 임의로 페닐 또는 페놀계기와 같은 방향족 반복 유니트를 함유하는 폴리머, 예로서 미국 특허 제5,929,176호 및 제6,090,526호에 기재되어 있는 폴리머, 및 (i) 및/또는 (ii) 및/또는 (iii)의 블렌드가 있다.
193nm와 같이 특정의 200nm 이하의 파장에서 이미지화시킬 경우, 상기 매트릭스 폴리머는 전형적으로 상기 방사선을 고도로 흡수하는 기들인, 페닐, 벤질 또는 기타 방향족기가 실질적으로 없는 (예를 들어, 15 몰% 미만), 바람직하게는 완전히 없는 것이다. 방향족기가 실질적으로 또는 완전히 없는 적합한 폴리머가 유럽특허공보 EP930542A1 및 미국 특허 제6,692,888호 및 제6,680,159호에 개시되어 있다.
다른 적합한 매트릭스 폴리머의 예로는 임의로 치환된 노르보르넨과 같은 비-방향족 환식 올레핀 (내환식 이중결합)의 반복 유니트를 함유하는 것들, 예를 들어, 미국 특허 제5,843,624호 및 제6,048,664호에 기재된 폴리머가 있다. 또 다른 적합한 매트릭스 폴리머로 중합된 무수물 유니트, 특히 중합된 말레산 무수물 및/또는 이타콘산 무수물 유니트를 함유하는 폴리머, 예로서 유럽 공개출원 EP01008913A1 및 미국 특허 제6,048,662호에 개시된 것들이 있다.
또한, 헤테로 원자, 특히 산소 및/또는 황을 함유하는 반복 유니트 (그러나, 무수물 제외, 즉, 상기 유니트는 케토 환 원자를 함유하지 않는다)를 함유하는 수지가 매트릭스 폴리머로서 적합하다. 상기 헤테로지환족 유니트는 폴리머 주쇄에 융합될 수 있으며, 노르보르넨기의 중합에 의해 제공되는 것과 같은 융합된 탄소 지환족 유니트 및/또는 말레산 무수물 또는 이타콘산 무수물의 중합에 의해 제공되는 것과 같은 무수물 유니트를 포함할 수 있다. 그러한 폴리머가 국제 특허 공보 WO0186353A1 및 미국 특허 제6,306,554호에 개시되어 있다. 다른 적합한 헤테로-원자 기 함유 매트릭스 폴리머는 미국 특허 제7,244,542호에 개시된 바와 같은, 헤테로-원자(예, 산소 또는 황) 함유기, 예를 들어, 하이드록시 나프틸기 1개 이상으로 치환된 중합된 탄소환식 아릴 유니트를 포함하는 폴리머를 포함한다.
193nm 및 EUV (예, 13.5nm)와 같은 200nm 이하의 파장인 경우, 상기 매트릭스 폴리머는 전형적으로 매트릭스 폴리머와 포토레지스트 조성물의 분해 속도를 제어하기 위하여 락톤 잔기를 함유하는 유니트를 추가로 포함한다. 락톤 잔기를 함유하는 매트릭스 폴리머에 사용하기에 적합한 모노머의 예로 다음과 같은 것들이 있다:
Figure pat00002
Figure pat00003
그러한 매트릭스 폴리머는 전형적으로 매트릭스 폴리머와 포토레지스트 조성물의 에칭 저항성을 향상시키고 매트릭스 폴리머와 포토레지스트 조성물의 분해 속도를 제어하기 위한 추가적 수단을 제공하는, 극성기를 함유하는 유니트를 추가로 포함한다. 그러한 유니트를 형성시키기 위한 모노머의 예로 다음과 같은 것들이 있다:
Figure pat00004
상기 매트릭스 폴리머는 상기한 타입의 추가적인 유니트 1종 이상을 포함할 수 있다. 전형적으로, 매트릭스 폴리머에 대한 추가적인 유니트는 상기 폴리머의 다른 유니트를 형성시키기 위하여 사용되는 모노머용으로 사용되는 것들과 동일하거나 유사한 중합가능한 기를 포함하지만, 동일한 폴리머 주쇄에 기타의, 상이한 중합가능한 기를 포함할 수 있다.
상기 매트릭스 폴리머는 하기한 첨가적 폴리머의 표면 에너지 보다 더 높은 표면 에너지를 가지며, 첨가적 폴리머와 실질적으로 비-혼화성이어야 한다. 표면 에너지에서 차이가 발생함으로써, 스핀-코팅중에 매트릭스 폴리머로부터 상기 첨가적 폴리머가 분리된다. 상기 매트릭스 폴리머의 적합한 표면 에너지는 전형적으로 20 내지 50 mN/m, 바람직하게는 30 내지 40 mN/m이다.
이들로 제한되는 것은 아니나, 매트릭스 폴리머의 예로 다음과 같은 것들이 있다:
Figure pat00005
Figure pat00006

본 발명의 포토레지스트 조성물에 사용하기에 적합한 매트릭스 폴리머는 상업적으로 입수가능하며 당해 분야의 숙련가에 의해 용이하게 제조될 수 있다. 상기 매트릭스 폴리머는 레지스트의 노광된 코팅층이 적합한 현상제 용액중에서 현상가능하도록 하기에 충분한 양으로 레지스트 조성물중에 존재한다. 전형적으로, 상기 매트릭스 폴리머는 레지스트 조성물의 전체 고형분을 기준으로 50 내지 95 중량%의 양으로 조성물중에 존재한다. 상기 매트릭스 폴리머의 중량 평균 분자량 Mw는 전형적으로 100,000 보다 작으며, 예를 들면, 5000 내지 100,000, 더욱 전형적으로는 5000 내지 15,000이다. 상기한 매트릭스 폴리머 2종 이상의 블렌드가 본 발명의 포토레지스트 조성물에 적합하게 사용될 수 있다.
상기 첨가적 폴리머는 매트릭스 폴리머의 표면 에너지 보다 낮은 표면 에너지를 가지며 상기 매트릭스 폴리머와는 실질적으로 비-혼화성이어야 하는 물질이다. 이 방식에서는, 코팅 공정중에 제1 첨가제가 도포된 포토레지스트층의 탑 또는 상부(upper portions)로 분리되거나 이동하는 것이 용이해진다. 첨가적 폴리머의 바람직한 표면 에너지가 특정 매트릭스 폴리머 및 이의 표면 에너지에 따르지만, 첨가적 폴리머의 표면 에너지는 전형적으로 18 내지 40 mN/m, 바람직하게는 20 내지 35 mN/m, 더욱 바람직하게는 29 내지 33 mN/m이다. 첨가적 폴리머가 코팅 공정 중에 레지스트층의 상부 표면으로 이동하지만, 첨가적 폴리머와 레지스트 표면 바로 아래쪽의 매트릭스 폴리머 사이에 어느 정도의 상호간 혼합(intermixing)이 있는 것이 바람직하다. 그러한 상호간 혼합은 미광으로 인하여 매트릭스 폴리머 부근에서 암영역에 발생된 산을 감소 또는 제거함으로써 레지스트층에서의 표면 억제를 감소시키는데 도움이 되는 것으로 생각된다. 상호간 혼합의 정도는 예를 들어, 매트릭스 폴리머(MP)와 첨가적 폴리머(AP)간의 표면 에너지(SE)에서의 차 (△SE = SEMP - SEAP)에 따른다. 제시된 매트릭스 및 첨가적 폴리머의 경우, 상호간 혼합의 정도는 △SE가 감소됨에 따라 증가될 수 있다. △SE는 전형적으로 2 내지 32 mN/m, 바람직하게는 5 내지 15 mN/m이다.
본 발명의 포토레지스트 조성물에 유용한 첨가적 폴리머는 다수의 뚜렷한 반복 유니트, 예를 들어, 2, 3 또는 4개의 뚜렷한 반복 유니트를 갖는 코폴리머이다. 상기 뚜렷한 유니트는 적어도 화학식(I)의 모노머로 구성된 중합된 유니트와 하기한 바와 같은 염기성 잔기를 함유하는 상이한 유니트를 포함한다. 상기 첨가적 폴리머는 산 불안정한 기, 예를 들어, 광산-불안정한 3급 알킬 에스테르, 아세탈 및 케탈기가 없는데, 이들 기는 포지티브-작용하는 화학적으로 증폭된 포토레지스트의 매트릭스 폴리머에 전형적으로 포함된다. 첨가적 폴리머상에 그러한 기가 존재하게 되면 상기 폴리머가 현상제중에서 불용성으로 될 수 있어, 형성된 레지스트 패턴에 브리지 결함과 같은 결함이 생성될 수 있다. 첨가적 폴리머가 코팅중 레지스트 표면으로 이동하고 이의 염기성 작용성의 결과로서, 트렌치 형성중 미세-브리지 결함 및 포토마스크에 의해 차단된 레지스트의 영역에 미광의 존재로 인하여 발생되는 콘택트홀의 사라짐 현상을 최소화하거나 피할 수 있다.
상기 첨가적 폴리머는 또한 실리콘이 없는 것이 바람직하다. 실리콘-함유 폴리머는 특정의 에칭제에서 유기 포토레지스트 폴리머 보다 현저하게 더 낮은 에칭 속도를 나타낸다. 그 결과, 실리콘-함유 첨가적 폴리머가 유기 매트릭스 폴리머계 레지스트층의 표면에서 응집되어 에칭 공정 중 추체형 결함(cone defects)을 일으킬 수 있다. 상기 첨가적 폴리머는 불소를 함유할 수 있거나 불소가 없을 수 있다. 바람직한 첨가적 폴리머는 포토레지스트 조성물을 조제하는데 사용되는 것과 동일한 유기 용매(들)중에서 가용성이다. 바람직한 첨가적 폴리머는 또한 네가티브 톤 현상 공정에 사용되는 유기 현상제중에서 가용성이거나 노광후 베이킹 시 (예, 120℃ 에서 60초) 가용성으로 된다.
상기 첨가적 폴리머는 다음 화학식(I)을 갖는 모노머로부터 형성된 제1 유니트를 포함한다:
[화학식 1]
Figure pat00007
상기 식에서
P는 중합가능한 작용기이고,
R1은 치환 및 비치환된 C1 내지 C20 직쇄, 분지 및 환식 탄화수소, 바람직하게는 불소화 및 비-불소화된 C1 내지 C15 알킬, 더욱 바람직하게는 불소화 및 비-불소화된 C3 내지 C8 알킬, 가장 바람직하게는 불소화 및 비-불소화된 C4 내지 C5 알킬로부터 선택되며, R1이 바람직하게는 분지되어 침지 리소그래피에 사용시 더 높은 물 후진 접촉각(water receding contact angle)을 제공하며, 플루오로알킬 및 플루오로알코올과 같은 할로알킬 및 할로알코올의 R1 치환체가 적합하고;
Z는 치환 및 비치환된 직쇄 또는 분지된 지방족 (예로서 C1 내지 C6 알킬렌) 및 방향족 탄화수소, 및 이들의 조합으로부터 선택되는 스페이서 유니트로, 임의로 -O-, -S- 및 -COO-로부터 선택되는 결합 잔기 1개 이상을 가지며,
n은 0 내지 5의 정수이다.
상기 중합가능한 작용기 P는 예를 들어, 하기 화학식(P-1), (P-2) 및 (P-3)으로부터 선택될 수 있다:
[화학식 2]
Figure pat00008
상기 식에서, R2는 수소, 불소 및 불소화 및 비-불소화 C1 내지 C3 알킬로부터 선택되며; X는 산소 또는 황이다.
[화학식 3]
Figure pat00009
상기 식에서, R3은 수소, 불소 및 불소화 및 비-불소화 C1 내지 C3 알킬로부터 선택된다.
[화학식 4]
Figure pat00010
상기 식에서, m은 0 내지 3의 정수이다.
바람직하게는, 상기 첨가적 폴리머가 하기 화학식(I-1)을 갖는 모노머로부터 형성된 제1 유니트를 포함한다:
[화학식 5]
Figure pat00011
상기 식에서,
R2와 X는 상기 정의된 바와 같고;
R4는 치환 및 비치환된 C1 내지 C20 직쇄, 분지 및 환식 탄화수소, 바람직하게는 불소화 및 비-불소화된 C1 내지 C15 알킬, 더욱 바람직하게는 불소화 및 비-불소화된 C3 내지 C8 알킬, 가장 바람직하게는 불소화 및 비-불소화된 C4 내지 C5 알킬로부터 선택되며, R4는 바람직하게는 분지되어 침지 리소그래피에 사용시 더 높은 물 후진 접촉각을 제공하며, 플루오로알킬 및 플루오로알코올과 같은 할로알킬 및 할로알코올의 R4 치환체가 적합하다.
화학식(I)의 적합한 모노머의 예가 하기에 기재되지만, 이들 구조로 제한되는 것은 아니다. 이들 구조의 목적인 경우, "R2" 및 "X"는 상기 정의된 바와 같다.
Figure pat00012
Figure pat00013
Figure pat00014
Figure pat00015
상기 첨가적 폴리머는 염기성 잔기를 갖는 모노머로부터 형성된, 상기 제1 유니트와는 다른 유니트를 추가로 포함한다. 이 유니트는 노광시키지 않을 (암) 포토레지스트 영역에서 산을 중화시킬 목적으로 존재하며, 상기 산은 포토레지스트층의 표면 영역에서 미광에 의해 발생된다. 이는 비노광 지역에서 원치않는 탈보호 반응을 제어함으로써 초점이 흐려지는 지역에서 초점심도와 노광범위를 향상시키는 것으로 생각된다. 그 결과, 형성된 레지스트 패턴에서, 윤곽에서의 불규칙성, 예를 들어, 네킹 및 T-탑핑을 최소화하거나 피할 수 있다.
염기성 잔기-함유 유니트가 1종 이상의 모노머로부터 선택된 모노머로부터 바람직하게 형성되며, 이의 중합가능한 유니트는 (알킬)아크릴레이트, 비닐, 알릴 및 말레이미드로부터 선택되고 이의 염기성 잔기는 아민, 예로서 아미노 에테르, 피리딘, 아닐린, 인다졸, 피롤, 피라졸, 피라진, 구아니디늄 및 이민; 아미드, 예로서 카르바메이트, 피롤리디논, 말레이미드, 이미다졸 및 이미드; 및 이들의 유도체로부터 선택되는 질소-함유기이다. 이들 중에서, (알킬)아크릴레이트 중합가능한 기 및 아민-함유 염기성 잔기가 바람직하다. 첨가적 폴리머의 염기성 잔기-함유 유니트를 형성시키는데 사용하기에 적합한 모노머는 다음과 같다:
Figure pat00016
Figure pat00017
이들 모노머 중에서, 염기성 잔기-함유 유니트를 형성시키는데 사용하기에, 다음이 바람직하다:
Figure pat00018
첨가적 폴리머중 염기성 잔기-함유 유니트(들)의 함량은 포토레지스트층의 암영역에서 산-유발된 탈보호 반응을 실질적으로 또는 완전히 제거하는 한편, 그러한 반응이 상기 층의 명영역 (노광시키고자 하는 영역들)에서 일어나도록 하기에 충분하여야 한다. 첨가적 폴리머중 염기성 잔기-함유 유니트(들)의 바람직한 함량은 예를 들어, 포토레지스트층에서의 광산 발생제의 함량에 따른다. 그러나, 염기성 잔기-함유 유니트는 상대적으로 높은 표면 에너지를 갖는 경향이 있어 과도하게 높은 함량은 매트릭스 폴리머로부터 첨가적 폴리머가 분리되는데 나쁜 영향을 줄 수 있다. 염기성 잔기-함유 모노머의 pKa (수중에서)는 바람직하게는 5 내지 50, 더욱 바람직하게는 8 내지 40, 가장 바람직하게는 10 내지 35이다. 상기 염기성 잔기-함유 모노머 및 전체로서 첨가적 폴리머의 pKa 값은 전형적으로 동일하거나 실질적으로 동일한 값을 갖는다. 화학식(I)의 모노머 유니트와 염기성 잔기-함유 유니트를 포함시키면 매트릭스 폴리머에 대해 첨가적 폴리머의 표면 에너지의 미세한 조정(tuning)이 가능하여 단순한 방법으로 2종의 폴리머의 상호간 혼합 (및 분리) 정도를 미세 조정할 수 있다. 전형적으로, 첨가적 폴리머 중 염기성 잔기-함유 유니트(들)의 함량은 첨가적 폴리머를 기준으로 하여, 0.1 내지 30 몰%, 바람직하게는 0.5 내지 20 몰%, 더욱 바람직하게는 2 내지 15 몰%이다.
포토레지스트 조성물에 유용한 첨가적 폴리머의 예는 다음과 같다:
Figure pat00019
포토레지스트 조성물은 전형적으로 단일의 첨가적 폴리머를 포함하지만, 임의로 상기한 바와 같은 1종 이상의 첨가적 폴리머를 포함할 수 있다. 포토레지스트 조성물에 사용하기에 적합한 폴리머 및 모노머는 상업적으로 입수가능하며/하거나 당해 분야의 숙련가에 의해 용이하게 제조될 수 있다.
상기 첨가적 폴리머는 전형적으로 포토레지스트 조성물 중에 상대적으로 소량으로, 예를 들면, 포토레지스트 조성물의 전체 고형분 기준으로, 0.1 내지 10 중량%, 바람직하게는 0.5 내지 5 중량%, 더욱 바람직하게는 1 내지 3 중량%의 양으로 존재한다. 첨가적 폴리머의 함량은 예를 들어, 포토레지스트층 중 광산 발생제의 함량, 첨가적 폴리머중 염기성 잔기-함유 유니트(들)의 함량, 및 리소그래피가 건식 또는 침지형 공법인지의 여부에 따른다. 예를 들어, 침지 리소그래피의 경우 첨가적 폴리머의 하한치는 일반적으로 레지스트 성분의 침출을 방지하게 위한 필요에 따른다. 과도하게 높은 첨가적 폴리머 함량은 전형적으로 패턴 불량을 일으킨다. 첨가적 폴리머의 중량 평균 분자량은 전형적으로 400,000 보다 작으며, 바람직하게는 5000 내지 50,000, 더욱 바람직하게는 5000 내지 25,000이다. 본 발명의 포토레지스트 조성물에 사용하기에 적합한 첨가적 폴리머 및 상기 첨가적 폴리머를 제조하기 위한 모노머는 상업적으로 입수가능하며/하거나 당해 분야의 숙련가에 의해 제조될 수 있다.
감광성 조성물은 활성화 방사선에 노광시 조성물의 코팅층에 잠상(latent image)을 발생시키기에 충분한 양으로 사용되는 광산 발생제(PAG)를 추가로 포함한다. 예를 들어, 상기 광산 발생제는 포토레지스트 조성물의 전체 고형분을 기준으로 하여 약 1 내지 20 중량%의 양으로 적합하게 존재한다. 전형적으로, 더 적은 양의 광활성 성분이 화학적으로 증폭된 레지스트에 적합하다.
적합한 PAGs가 화학적으로 증폭된 포토레지스트 분야에 공지되어 있으며, 예를 들면 다음과 같은 것들이 있다: 오늄염, 예를 들어, 트리페닐술포늄 트리플루오로메탄술포네이트, (p-tert-부톡시페닐)디페닐술포늄 트리플루오로메탄술포네이트, 트리스(p-tert-부톡시페닐)술포늄 트리플루오로메탄술포네이트, 트리페닐술포늄 p-톨루엔술포네이트; 니트로벤질 유도체, 예를 들어, 2-니트로벤질 p-톨루엔술포네이트, 2,6-디니트로벤질 p-톨루엔술포네이트, 및 2,4-디니트로벤질 p-톨루엔술포네이트; 술폰산 에스테르, 예를 들어, 1,2,3-트리스(메탄술포닐옥시)벤젠, 1,2,3-트리스(트리플루오로메탄술포닐옥시)벤젠, 및 1,2,3-트리스(p-톨루엔술포닐옥시)벤젠; 디아조메탄 유도체, 예를 들어, 비스(벤젠술포닐)디아조메탄, 비스(p-톨루엔술포닐)디아조메탄; 글리옥심 유도체, 예를 들어, 비스-O-(p-톨루엔술포닐)-α-디메틸글리옥심, 및 비스-O-(n-부탄술포닐)-α-디메틸글리옥심; N-하이드록시이미드 화합물의 술폰산 에스테르 유도체, 예를 들어, N-하이드록시숙신이미드 메탄술폰산 에스테르, N-하이드록시숙신이미드 트리플루오로메탄술폰산 에스테르; 및 할로겐-함유 트리아진 화합물, 예를 들어, 2-(4-메톡시페닐)-4,6-비스(트리클로로메틸)-1,3,5-트리아진, 및 2-(4-메톡시나프틸)-4,6-비스(트리클로로메틸)-1,3,5-트리아진. 그러한 PAGs 1종 이상을 사용할 수 있다.
본 발명의 포토레지스트 조성물에 적합한 용매의 예는 다음과 같다: 글리콜 에테르, 예로서 2-메톡시에틸 에테르(디글림), 에틸렌 글리콜 모노메틸 에테르, 및 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트, 예로서 메틸 락테이트 및 에틸 락테이트; 프로피오네이트, 예로서 메틸 프로피오네이트, 에틸 프로피오네이트, 에틸 에톡시 프로피오네이트 및 메틸-2-하이드록시 이소부티레이트; 셀로솔브(Cellosolve) 에스테르, 예로서 메틸 셀로솔브 아세테이트; 방향족 탄화수소, 예로서 톨루엔 및 자일렌; 및 케톤, 예로서 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논. 상기한 용매 2종, 3종 또는 그 이상의 블렌드와 같은 용매의 블렌드가 또한 적합하다. 용매는 전형적으로 포토레지스트 조성물의 전체 중량을 기준으로 하여 90 내지 99 중량%, 더욱 전형적으로는 95 내지 98 중량%의 양으로 존재한다.
포토레지스트 조성물에 대한 기타 임의의 첨가가의 예로는, 화학 및 대비 염료(actinic and contrast dyes), 줄흔 방지제(anti-striation agent), 가소제, 증속제(speed enhancers), 증감제 등이 있다. 필러 및 염료는 포토레지스트 조성물의 전체 고형분을 기준으로 하여 5 내지 30 중량%와 같이, 상대적으로 높은 농도로 존재할 수 있지만, 사용되는 경우 그러한 임의의 첨가제는 전형적으로 조성물중에 포토레지스트 조성물의 전체 고형분을 기준으로 하여 0.1 내지 10 중량%와 같이 소량으로 존재한다.
본 발명의 레지스트 조성물의 바람직한 임의의 첨가제는 현상된 레지스트 릴리프상의 해상도를 증가시킬 수 있도록 첨가되는 염기이다. 적합한 염기성 소광제(quenchers)의 예는 다음과 같다: N,N-비스(2-하이드록시에틸)피발아미드, N,N-디에틸아세트아미드, N1,N1,N3,N3-테트라부틸말론아미드, 1-메틸아제판-2-온, 1-알릴아제판-2-온 및 tert-부틸 1,3-디하이드록시-2-(하이드록시메틸)프로판-2-일카르바메이트; 방향족 아민, 예로서 피리딘 및 디-tert-부틸 피리딘; 지방족 아민, 예로서 트리이소프로판올아민, n-tert-부틸디에탄올아민, 트리스(2-아세톡시-에틸)아민, 2,2',2",2'"-(에탄-1,2-디일비스(아잔에트리일)테트라에탄올, 및 2-(디부틸아미노)에탄올, 2,2',2"-니트릴로트리에탄올; 환식 지방족 아민, 예로서 1-(tert-부톡시카르보닐)-4-하이드록시피페리딘, tert-부틸 1-피롤리딘카르복실레이트, tert-부틸 2-에틸-1H-이미다졸-1-카르복실레이트, 디-tert-부틸 피페라진-1,4-디카르복실레이트 및 N (2-아세톡시-에틸)모르폴린. 이들 염기성 소광제 중에서, 1-(tert-부톡시카르보닐)-4-하이드록시피페리딘 및 트리이소프로판올아민이 바람직하다. 상기 첨가된 염기는 상대적으로 소량으로, 예를 들어, PAG에 대해 1 내지 20 중량%, 더욱 전형적으로는 PAG에 대해 5 내지 15 중량%의 양으로 적합하게 사용된다.
본 발명에 따라서 사용되는 포토레지스트는 일반적으로 공지되어 있는 공법에 따라 제조된다. 예를 들어, 본 발명의 레지스트는 포토레지스트의 성분을 적합한 용매, 예를 들어, 글리콜 에테르, 예로서 2-메톡시에틸 에테르(디글림), 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르; 프로필렌 글리콜 모노메틸 에테르 아세테이트; 락테이트, 예로서 에틸 락테이트 또는 메틸 락테이트(에틸 락테이트가 바람직하다); 프로피오네이트, 특히 메틸 프로피오네이트, 에틸 프로피오네이트 및 에틸 에톡시 프로피오네이트; 셀로솔브 에스테르, 예로서 메틸 셀로솔브 아세테이트; 방향족 탄화수소, 예로서 톨루엔 또는 자일렌; 또는 케톤, 예로서 메틸에틸 케톤, 사이클로헥사논 및 2-헵타논 중 1종 이상에 용해시켜 코팅 조성물로 제조될 수 있다. 포토레지스트의 바람직한 전체 고형분 함량은 조성물 중 특정 폴리머, 최종 층두께 및 노광 파장과 같은 인자에 따른다. 전형적으로 포토레지스트의 고형분 함량은 포토레지스트 조성물의 전체 중량을 기준으로 하여 1 내지 10 중량%, 더욱 전형적으로는 2 내지 5 중량%에서 변화된다.
본 발명은 또한 본 발명의 포토레지스트를 사용하여 포토레지스트 릴리프상을 형성시키는 방법 및 전자장치를 제조하는 방법을 제공한다. 본 발명은 또한 본 발명의 포토레지스트 조성물로 코팅한 기판을 포함하는 신규한 제품의 제조를 제공한다. 이제 본 발명에 따르는 방법을 도 2A-C를 참고로 하여 설명하는데, 상기 도면은 네가티브 톤 현상 공법에 의해 포토리소그래픽 패턴을 형성시키기 위한 예시적인 공정 흐름을 설명한다.
도 2A는 다양한 층과 피쳐를 포함할 수 있는 기판(100)을 횡단면으로 나타낸 것이다. 상기 기판은 반도체, 예로서 실리콘 또는 화합물 반도체 (예, III-V 또는 II-VI), 유리, 석영, 세라믹, 구리 등과 같이, 반도체와 같은 물질 중 하나일 수 있다. 전형적으로, 상기 기판이 반도체 웨이퍼, 예로서 단결정 실리콘 또는 화합물 반도체 웨이퍼이며, 1개 이상의 층과 이들의 표면상에 형성되어 있는 패턴화된 피쳐를 가질 수 있다. 패턴화시킬 1개 이상의 층(102)은 기판(100)위에 제공될 수 있다. 임의로, 기저의 베이스 기판 물질 자체는 예를 들어, 기판 물질에 트렌치를 형성시키고자 할 경우, 패턴화될 수 있다. 베이스 기판 물질 자체를 패턴화시킬 경우, 상기 패턴이 기판의 층에 형성되는 것으로 생각될 것이다.
상기 층은 예를 들어, 알루미늄, 구리, 몰리브덴, 탄탈, 티타늄, 텅스텐, 합금, 그러한 금속의 질화물 또는 규화물, 도핑된 무정형 실리콘 또는 도핑된 폴리실리콘의 층과 같은 도전성층 1개 이상, 산화실리콘, 질화실리콘, 산질화실리콘, 또는 금속 산화물의 층과 같은 유전체층 1개 이상, 반도체층, 예로서, 단결정 실리콘, 및 이들의 조합을 포함할 수 있다. 에칭시킬 층은 다양한 기술, 예를 들면, 플라즈마-향상된 CVD, 저압 CVD 또는 에피택셜 성장법과 같은 화학적 증착법(CVD), 스퍼터링 또는 증발과 같은 물리적 증착법(PVD), 또는 전기도금법으로 형성시킬 수 있다. 에칭시킬 1개 이상의 층(102)의 특정 두께는 소재 및 형성시킬 특정 소자에 따라 변화된다.
에칭시킬 특정 층, 필름 두께 및 사용할 포토리소그래픽 소재 및 공법에 따라서, 상기 층(102), 하드 마스크층 및/또는 포토레지스트층(104)을 코팅될 하부 반사방지 코팅(BARC) 위에 배치하는 것이 바람직할 수 있다. 매우 얇은 레지스트층, 에칭시킬 층이 확실한 에칭 심도가 요구되는 경우, 및/또는 특정의 에칭제가 불량한 레지스트 선택성을 갖는 경우, 하드 마스크층을 사용하는 것이 바람직할 수 있다. 하드 마스크층이 사용되는 경우, 형성될 레지스트 패턴이 하드 마스크층으로 이동될 수 있으며, 이는 이어서, 기저층(102)를 에칭시키기 위한 마스크로 사용될 수 있다. 적합한 하드 마스크 소재 및 형성 방법은 당해 분야에 공지되어 있다. 전형적인 소재의 예로는, 텅스텐, 티타늄, 질화티타늄, 산화티타늄, 산화지르코늄, 산화알루미늄, 산질화알루미늄, 산화하프늄, 무정형 탄소, 산질화실리콘 및 질화실리콘이 있다. 상기 하드 마스크층은 단일층 또는 상이한 소재로 된 다수의 층을 포함할 수 있다. 상기 하드 마스크층은 예를 들어, 화학적 또는 물리적 증착기술에 의해 형성될 수 있다.
하부 반사방지 코팅(bottom antireflective coating)은 기판 및/또는 기저층이 포토레지스트 노광중에 상당한 양의 입사방사선을 달리 반사시켜 형성된 패턴의 품질에 나쁜 영향을 줄 때 요구될 수 있다. 그러한 코팅은 초점심도, 노광범위, 라인폭 균일성 및 CD 제어를 향상시킬 수 있다. 레지스트가 심자외선 (300nm 이하), 예를 들어, KrF 엑시머 레이져 광(248nm) 또는 ArF 엑시머 레이져광(193nm)에 노광시 반사방지 코팅이 전형적으로 사용된다. 상기 반사방지 코팅은 단일층 또는 다수의 상이한 층을 포함할 수 있다. 적합한 반사방지 소재 및 형성 방법이 당해 분야에 공지되어 있다. 반사방지 소재는 상업적으로 입수가능하며, 예를 들며, AR™40A 및 AR™124 반사방지 소재와 같이, Rohm and Haas Electronic Materials LLC (Marlborough, MA USA)에 의해 AR™ 상표명 하에 판매되는 것들이 있다.
상기한 바와 같은 본 발명의 조성물로부터 형성된 포토레지스트층(104)을 기판 위에 도포한다. 상기 포토레지스트 조성물은 전형적으로 스핀-코팅법으로 기판에 도포된다. 스핀-코팅 중에, 포토레지스트 중 첨가적 폴리머가 전형적으로 상부 표면 바로 아래 영역에서 매트릭스 폴리머와 상호간 혼합에 의해 형성된 레지스트층의 상부 표면으로 분리된다. 코팅 용액의 고형분 함량을 조절하여 이용되는 특정 코팅 장비, 용액의 점도, 코팅 속도 및 스피닝시키는 시간의 양을 기준으로 하여 바람직한 필름 두께를 제공할 수 있다. 포토레지스트층(104)의 전형적인 두께는 약 500 내지 3000Å이다.
다음에, 포토레지스트층을 소프트베이킹시켜 상기 층 중 용매 함량을 최소화하여, 태크가 없는 코팅을 형성시키고 상기 기판으로의 상기 층의 접착을 향상시킬 수 있다. 상기 소프트베이킹은 열판 또는 오븐에서 수행될 수 있으며, 열판이 전형적이다. 상기 소프트베이킹 온도와 시간은 예를 들어, 포토레지스트의 특정 소재 및 두께에 따른다. 전형적인 소프트베이킹은 약 90 내지 150℃의 온도, 및 약 30 내지 90초의 시간으로 수행된다.
다음에, 포토레지스트층(104)을 포토마스크(110)을 통하여 활성화 방사선(108)에 노광시켜 노광시킨 영역과 노광시키지 않은 영역간의 용해도 차를 발생시킨다. 포토레지스트 조성물을 활성화시키는 방사선에 상기 조성물을 노광시키는 것에 대한 언급은 상기 방사선이 포토레지스트 조성물에 잠상을 형성시킬 수 있음을 나타내는 것이다. 상기 포토마스크는 광학적으로 투명한 영역과 광학적으로 불투명한 영역 (112, 114)를 갖는데, 이들은 이후의 각각 기판 현상 단계에서 남아있는 레지스트층과 제거되는 레지스트층의 영역에 대응한다. 상기 노광 파장은 전형적으로 400nm 이하, 300nm 이하 또는 200nm 이하이며, 248nm, 193nm 및 EUV 파장이 전형적이다. 포토레지스트 소재는 추가로 전자 빔 노광과 함께 사용될 수 있다. 상기 방법들은 침지 또는 건식(비-침지) 리소그래피 기술에서의 용도를 발견하게 되었다. 노광 에너지는 전형적으로, 노광 장치 및 감광성 조성물의 성분에 따라, 약 10 내지 80 mJ/㎠이다.
포토레지스트층(104)를 노광시킨 다음, 노광후 베이크(PEB)를 수행한다. 상기 PEB는 예를 들어, 열판 또는 오븐에서 수행될 수 있다. PEB에 대한 조건은 예를 들어, 특정의 포토레지스트 조성물 및 층 두께에 따른다. 상기 PEB는 전형적으로 약 80 내지 150℃의 온도, 및 약 30 내지 90초의 시간으로 수행된다. 극성-스위치되는 영역과 스위치되지 않는 영역간의 경계선(파선)에 의해 구획된 잠상(116)이 도 2B에 나타낸 바와 같이 포토레지스트에 형성된다. 첨가적 폴리머의 염기성 잔기는 미광 또는 산란광이 존재할 수 있는 포토레지스트층의 암영역에서 극성 스위치되는 것을 방지하여, 수직벽을 갖는 잠상을 생성시키는 것으로 생각된다. 이는 암영역 중 PAG에 의해 발생된 산이 중화되는 결과이다. 그 결과, 상기 영역중의 산-불안정한 기의 분해가 실질적으로 방지될 수 있다.
이어서, 상기 노광된 포토레지스트층을 현상시켜 포토레지스트층(104)의 노광되지 않은 영역을 제거하고, 도 2C에 나타낸 바와 같이 수직 측벽을 갖는 콘택트홀 패턴(118)이 있는 개방된 레지스트 패턴(104')을 형성하는 노광된 영역만을 남긴다. 상기 현상제는 전형적으로 유기 현상제, 예를 들어, 케톤, 에스테르, 에테르, 탄화수소, 및 이들의 혼합물로부터 선택되는 용매이다. 적합한 케톤 용매의 예로는, 아세톤, 2-헥사논, 5-메틸-2-헥사논, 2-헵타논, 4-헵타논, 1-옥타논, 2-옥타논, 1-노나논, 2-노나논, 디이소부틸 케톤, 사이클로헥사논, 메틸사이클로헥사논, 페닐아세톤, 메틸 에틸 케톤 및 메틸 이소부틸 케톤이 있다. 적합한 에스테르 용매의 예로는, 메틸 아세테이트, 부틸 아세테이트, 에틸 아세테이트, 이소프로필 아세테이트, 아밀 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 디에틸렌 글리콜 모노부틸 에테르 아세테이트, 디에틸렌 글리콜 모노에틸 에테르 아세테이트, 에틸-3-에톡시프로피오네이트, 3-메톡시부틸 아세테이트, 3-메틸-3-메톡시부틸 아세테이트, 메틸 포르메이트, 에틸 포르메이트, 부틸 포르메이트, 프로필 포르메이트, 에틸 락테이트, 부틸 락테이트 및 프로필 락테이트가 있다. 적합한 에테르 용매의 예로는, 디옥산, 테트라하이드로푸란 및 글리콜 에테르 용매, 예를 들면, 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 디에틸렌 글리콜 모노메틸 에테르, 트리에틸렌 글리콜 모노에틸 에테르 및 메톡시메틸 부탄올이 있다. 적합한 아미드 용매의 예로는, N-메틸-2-피롤리돈, N,N-디메틸아세트아미드 및 N,N-디메틸포름아미드가 있다. 적합한 탄화수소 용매의 예로는, 방향족 탄화수소 용매, 예로서 톨루엔 및 자일렌이 있다. 또한, 이들 용매의 혼합물, 또는 상기한 것들 외의 다른 용매와 혼합되거나 물과 혼합된 상기 게시된 용매 1종 이상이 사용될 수 있다. 다른 적합한 용매는 포토레지스트 조성물에 사용되는 것들을 포함한다. 상기 현상제는 바람직하게는 2-헵타논 또는 n-부틸 아세테이트와 같은 부틸 아세테이트이다.
유기 용매의 혼합물, 예를 들어, 제1 및 제2 유기 용매의 혼합물이 현상제로 사용될 수 있다. 상기 제1 유기 용매는 하이드록시 알킬 에스테르, 예로서 메틸-2-하이드록시이소부티레이트 및 에틸 락테이트; 및 직쇄 또는 분지된 C5 내지 C6 알콕시 알킬 아세테이트, 예로서 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA)로부터 선택될 수 있다. 상기 제1 유기 용매중에서, 2-헵타논 및 5-메틸-2-헥사논이 바람직하다. 상기 제2 유기 용매는 직쇄 또는 분지된 비치환된 C6 내지 C8 알킬 에스테르, 예로서 n-부틸 아세테이트, n-펜틸 아세테이트, n-부틸 프로피오네이트, n-헥실 아세테이트, n-부틸 부티레이트 및 이소부틸 부티레이트; 및 직쇄 또는 분지된 C8 내지 C9 케톤, 예로서 4-옥타논, 2,5-디메틸-4-헥사논 및 2,6-디메틸-4-헵타논으로부터 선택될 수 있다. 상기 제2 유기 용매중에서, n-부틸 아세테이트, n-부틸 프로피오네이트 및 2,6-디메틸-4-헵타논이 바람직하다. 상기 제1 및 제2 유기 용매의 바람직한 조합으로는 2-헵타논/n-부틸 프로피오네이트, 사이클로헥사논/n-부틸 프로피오네이트, PGMEA/n-부틸 프로피오네이트, 5-메틸-2-헥사논/n-부틸 프로피오네이트, 2-헵타논/2,6-디메틸-4-헵타논 및 2-헵타논/n-부틸 아세테이트가 있다. 이들 중에서, 2-헵타논/n-부틸 아세테이트와 2-헵타논/n-부틸 프로피오네이트가 특히 바람직하다.
상기 유기 용매는 전형적으로 현상제 중에, 현상제의 전체 중량을 기준으로 하여, 90 중량% 내지 100 중량%, 더욱 전형적으로는 95 중량% 보다 더 큰, 98 중량% 보다 더 큰, 99 중량% 보다 더 큰 또는 100 중량%의 배합한 양으로 존재한다.
상기 현상제 물질은 임의의 첨가제, 예를 들어, 포토레지스트에 대해 상기한 바와 같은 계면활성제를 포함할 수 있다. 그러한 임의의 첨가제는 전형적으로 낮은 농도로, 예를 들면, 현상제의 전체 중량을 기준으로 하여 약 0.01 내지 5 중량%의 양으로 존재한다.
상기 현상제는 전형적으로 스핀-코팅법에 의해 기판에 도포된다. 현상 시간은 포토레지스트의 노광되지 않은 영역을 제거하기에 유효한 기간이며, 5 내지 30초의 시간이 전형적이다. 현상은 전형적으로 실온에서 수행된다. 현상 공정은 현상 후 세정용 린스를 사용하지 않도 수행될 수 있다. 이에 관하여, 현상 공정으로 잔사가 없는 웨이퍼 기판이 생성될 수 있으며 그러한 과외의 세정 단계가 불필요하게 된다.
BARC 층이 존재할 경우, 에칭 마스크로서 레지스트 패턴(104')을 사용하여 선택적으로 에칭시켜, 기저 하드마스크층을 노광시킨다. 이어서 상기 하드마스크층을, 에칭 마스크로서 레지스트 패턴(104')을 다시 사용하여, 선택적으로 에칭시켜, 패턴화된 BARC와 하드마스크층을 생성시킨다. BARC 층과 하드마스크층을 에칭시키기에 적합한 에칭 기술 및 화학은 당해 분야에 공지되어 있으며 예를 들어, 이들층의 특정 소재에 따른다. 반응성 이온 에칭법과 같은 건식-에칭 공법이 전형적이다. 이어서 상기 레지스트 패턴(104')와 패턴화된 BARC 층을 공지의 기술, 예를 들어, 산소 플라즈마 애슁법을 사용하여 기판으로부터 제거한다.
에칭 마스크로서 상기 하드마스크 패턴을 사용하여, 1개 이상의 층(102)을 선택적으로 에칭시킨다. 기저층(102)를 에칭시키기에 적합한 에칭 기술 및 화학은 당해 분야에 공지되어 있으며, 반응성 이온 에칭법과 같은 건식-에칭 기술이 전형적이다. 이어서 상기 패턴화된 하드마스크층을 공지의 기술, 예를 들어, 반응성 이온 에칭법과 같은 건식-에칭 기술을 사용하여 기판 표면으로부터 제거할 수 있다. 생성된 구조는 에칭된 피쳐의 패턴이다. 일례의 대안방법에서는, 하드마스크층을 사용하지 않고도 레지스트 패턴(104')을 사용하여 직접 층(102)를 패턴화하는 것이 바람직할 수 있다. 직접적인 패턴화 방법을 사용하는지의 여부는 관련된 소재, 레지스트 선택성, 레지스트 패턴 두께 및 패턴 치수와 같은 인자에 따른다.
실시예
매트릭스 폴리머(MP) 합성
다음 모노머가 하기 설명되는 바와 같은 포토레지스트 폴리머(PP)의 합성에 사용되었다:
Figure pat00020

실시예 1: 폴리(ECPMA/MCPMA/MNLMA/HADA) (MP-1)의 합성
ECPMA (5.092 g), MCPMA (10.967 g), MNLMA (15.66 g) 및 HADA (8.280 g)의 모노머를 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 60 g에 용해시켰다. 질소를 20분간 버블시켜 상기 모노머 용액을 탈기시켰다. PGMEA (27.335 g)를 콘덴서와 기계적 교반기가 장착되어 있는, 500 mL 삼목 플라스크에 충전하고 질소를 20분간 버블시켜 탈기시켰다. 이어서, 상기 반응 플라스크중의 용매를 80℃의 온도가 되도록 하였다. V601 (디메틸-2,2-아조디이소부티레이트)(0.858 g)을 PGMEA 8 g에 용해시키고 20분간 질소를 버블시켜 상기 개시제 용액을 탈기시켰다. 상기 개시제 용액을 반응 플라스크에 가한 다음 모노머 용액을 상기 반응기로 3시간에 걸쳐서 강력한 교반 및 질소 대기하에서 적가하였다. 모노머 공급 완료 후, 중합반응 혼합물을 추가로 1시간 동안 80℃에서 정치시켰다. 총 4시간의 중합반응시간 (3시간의 공급 및 1시간의 공급후 교반)이 경과된 후, 중합반응 혼합물을 실온으로 냉각시켰다. 메틸 tert-부틸 에테르 (MTBE)(1634 g)중에서 침전을 수행하였다. 침전된 분말을 여과 수거하여, 밤새 공기-건조시킨 다음, 120 g의 THF에 다시 용해시키고, MTBE(1634 g)중으로 다시 침전시켰다. 최종 폴리머를 여과하여, 밤새 공기-건조시키고 진공하에 60℃에서 48시간 동안 추가로 건조시켜 31.0 g의 폴리(ECPMA/MCPMA/MNLMA/HADA)(15/35/30/20) 코폴리머 (MP-1) (Mw = 20,120 및 Mw/Mn = 1.59)을 수득하였다.
실시예 2: 폴리(MCPMA/OTDA/HADA) (MP-2)의 합성
MCPMA (17.233 g), OTDA (13.695 g) 및 HADA (9.108 g)의 모노머를 PGMEA 60 g에 용해시켰다. 질소를 20분간 버블시켜 상기 모노머 용액을 탈기시켰다. PGMEA (30.837 g)를 콘덴서와 기계적 교반기가 장착되어 있는, 500 mL 삼목 플라스크에 충전하고 질소를 20분간 버블시켜 탈기시켰다. 이어서, 상기 반응 플라스크중의 용매를 80℃의 온도가 되도록 하였다. V601 (디메틸-2,2-아조디이소부티레이트)(2.359 g)을 PGMEA 8g에 용해시키고 20분간 질소를 버블시켜 상기 개시제 용액을 탈기시켰다. 상기 개시제 용액을 반응 플라스크에 가한 다음 모노머 용액을 상기 반응기로 3시간에 걸쳐서 강력한 교반 및 질소 대기하에서 적가하였다. 모노머 공급 완료 후, 중합반응 혼합물을 추가로 1시간 동안 80℃에서 정치시켰다. 총 4시간의 중합반응시간 (3시간의 공급 및 1시간의 공급후 교반)이 경과된 후, 중합반응 혼합물을 실온으로 냉각시켰다. MTBE(1694 g)중에서 침전을 수행하였다. 침전된 분말을 여과 수거하여, 밤새 공기-건조시킨 다음, 120g의 THF에 다시 용해시키고, MTBE(1694 g)중으로 다시 침전시켰다. 최종 폴리머를 여과하여, 밤새 공기-건조시키고 진공하에 60℃에서 48시간 동안 추가로 건조시켜 28.535 g의 폴리(MCPMA/OTDA/HADA)(50/30/20) 코폴리머 (MP-2) (Mw = 13,474 및 Mw/Mn = 1.64)을 수득하였다.
실시예 3: 폴리(MCPMA/OTDA) (MP-3)의 합성
MCPMA (17.234 g), 및 OTDA (22.766 g)의 모노머를 PGMEA 60 g에 용해시켰다. 질소를 20분간 버블시켜 상기 모노머 용액을 탈기시켰다. PGMEA (30.837 g)를 콘덴서와 기계적 교반기가 장착되어 있는, 500 mL 삼목 플라스크에 충전하고 질소를 20분간 버블시켜 탈기시켰다. 이어서, 상기 반응 플라스크중의 용매를 80℃의 온도가 되도록 하였다. V601 (디메틸-2,2-아조디이소부티레이트)(2.359 g)을 PGMEA 8g에 용해시키고 20분간 질소를 버블시켜 상기 개시제 용액을 탈기시켰다. 상기 개시제 용액을 반응 플라스크에 가한 다음 모노머 용액을 상기 반응기로 3시간에 걸쳐서 강력한 교반 및 질소 대기하에서 적가하였다. 모노머 공급 완료 후, 중합반응 혼합물을 추가로 1시간 동안 80℃에서 정치시켰다. 총 4시간의 중합반응시간 (3시간의 공급 및 1시간의 공급후 교반)이 경과된 후, 중합반응 혼합물을 실온으로 냉각시켰다. MTBE(1694 g)중에서 침전을 수행하였다. 침전된 분말을 여과 수거하여, 밤새 공기-건조시킨 다음, 120g의 THF에 다시 용해시키고, MTBE(1694 g)중으로 다시 침전시켰다. 최종 폴리머를 여과하여, 밤새 공기-건조시키고 진공하에 60℃에서 48시간 동안 추가로 건조시켜 33.058 g의 폴리(MCPMA/OTDA)(50/50) 코폴리머 (MP-3) (Mw = 13,109 및 Mw/Mn = 1.80)을 수득하였다.
첨가적 폴리머(AP) 합성
다음 모노머가 하기 설명되는 바와 같은 첨가적 폴리머(AP)의 합성에 사용되었다:
Figure pat00021
염기성 잔기-함유 모노머의 수중 pKa 값은 다음과 같다: TBAEMA = 8.99±0.1; DEAEMA = 9.18±0.25; DMAEMA = 8.18±0.28; 및 DMAPMA = 9.39±0.28.
실시예 4: 폴리(iBMA/nBMA)(75/25)(AP-1)의 합성
30 g의 iBMA 및 10 g의 nBMA 모노머를 60 g의 PGMEA에 용해시켰다. 질소를 20분간 버블시켜 상기 모노머 용액을 탈기시켰다. PGMEA (32.890 g)를 콘덴서와 기계적 교반기가 장착되어 있는, 500 mL 삼목 플라스크에 충전하고 질소를 20분간 버블시켜 탈기시켰다. 이어서, 상기 반응 플라스크중의 용매를 80℃의 온도가 되도록 하였다. V601 (3.239 g)을 PGMEA 8g에 용해시키고 20분간 질소를 버블시켜 상기 개시제 용액을 탈기시켰다. 상기 개시제 용액을 반응 플라스크에 가한 다음 모노머 용액을 상기 반응기로 3시간에 걸쳐서 강력한 교반 및 질소 대기하에서 적가하였다. 모노머 공급 완료 후, 중합반응 혼합물을 추가로 1시간 동안 80℃에서 정치시켰다. 총 4시간의 중합반응시간 (3시간의 공급 및 1시간의 공급후 교반)이 경과된 후, 중합반응 혼합물을 실온으로 냉각시켰다. 메탄올/물(8/2) 혼합물 (1730 g)중에서 침전을 수행하였다. 침전된 중합체를 여과 수거하여, 밤새 공기-건조시킨 다음, 120g의 THF에 다시 용해시키고, 메탄올/물(8/2) 혼합물 (1730 g)중으로 다시 침전시켰다. 최종 폴리머를 여과하여, 밤새 공기-건조시키고 진공하에 25℃에서 48시간 동안 추가로 건조시켜 33.1 g의 폴리(iBMA/nBMA)(75/25) 코폴리머 (AP-1) (Mw = 9,203 및 Mw/Mn = 1.60)을 수득하였다.
실시예 5: 폴리(iBMA/TBAEMA)(95/5)(AP-2)의 합성
37.433 g의 iBMA 및 2.567 g의 TBAEMA 모노머를 60 g의 PGMEA에 용해시켰다. 질소를 20분간 버블시켜 상기 모노머 용액을 탈기시켰다. PGMEA (28.311 g)를 콘덴서와 기계적 교반기가 장착되어 있는, 500 mL 삼목 플라스크에 충전하고 질소를 20분간 버블시켜 탈기시켰다. 이어서, 상기 반응 플라스크중의 용매를 80℃의 온도가 되도록 하였다. V601 (1.276 g)을 PGMEA 8g에 용해시키고 20분간 질소를 버블시켜 상기 개시제 용액을 탈기시켰다. 상기 개시제 용액을 반응 플라스크에 가한 다음 모노머 용액을 상기 반응기로 3시간에 걸쳐서 강력한 교반 및 질소 대기하에서 적가하였다. 모노머 공급 완료 후, 중합반응 혼합물을 추가로 1시간 동안 80℃에서 정치시켰다. 총 4시간의 중합반응시간 (3시간의 공급 및 1시간의 공급후 교반)이 경과된 후, 중합반응 혼합물을 실온으로 냉각시켰다. 메탄올/물(8/2) 혼합물 (1651 g)중에서 침전을 수행하였다. 침전된 중합체를 여과 수거하여, 밤새 공기-건조시킨 다음, 120g의 THF에 다시 용해시키고, 메탄올/물(8/2) 혼합물 (1651 g)중으로 다시 침전시켰다. 최종 폴리머를 여과하여, 밤새 공기-건조시키고 진공하에 25℃에서 48시간 동안 추가로 건조시켜 28.3 g의 폴리(iBMA/TBAEMA)(95/5) 코폴리머 (AP-2)를 수득하였다.
추가의 첨가적 폴리머
추가의 염기성 잔기-함유 첨가적 폴리머를 상기한 바와 같은 공법을 사용하여 합성하였다. AP-1 및 AP-2에 대한 것들을 포함한 결과가 표 1에 요약되어 있다.
실시예 첨가적 P폴리머 모노머(들) 폴리머 조성* 개시제** 수율 Mw Mw/Mn
4 (비교) AP-1 iBMA/nBMA 75/25 5.0% 77% 9,203 1.60
5 AP-2 iBMA/TBAEMA 95/5 2.0% 71% NA NA
6 AP-3 iBMA/DEAEMA 95/5 2.0% 69% 14,414 2.19
7 (비교) AP-4 NPMA 100 2.0% 77% 18,156 1.73
8 AP-5 NPMA/TBAEMA 95/5 2.0% 75% 17,460 1.87
9 AP-6 NPMA/DMAPMA 95/5 2.0% 77% 9,760 1.51
10 AP-7 NPMA/DEAEMA 95/5 2.0% 80% 18,158 1.88
11 AP-8 NPMA/DMAEMA 95/5 2.0% 76% 6,650 1.09
*중합반응에서의 몰 공급비, **모노머에 대한 몰 퍼센트, NA=입수할 수 없음.
매트릭스 폴리머(MP) 및 첨가적 폴리머(AP)의 특징화
매트릭스 폴리머와 첨가적 폴리머를 PGMEA에 고형분을 기준으로 하여 10 중량%의 양으로 용해시키고 기공 크기가 0.2 마이크론인 Teflon 필터를 통하여 여과하였다. 상기 여과한 용액을 200 mm 베어(bare) 실리콘 웨이퍼 상에 코팅하여 120℃에서 60초간 베이킹시켜 두께가 대략 4000Å인 필름을 수득하였다. 침지 리소그래피에 첨가적 폴리머를 사용하는데는 물 마크 결함을 일으키지 않고 노광 시스템의 스캔 속도를 높이기 위하여 일반적으로 70°보다 더 높은 물 후진 접촉각을 필요로 한다.
DI 물, 디에틸렌 글리콜 및 디요오도메탄에 대한 정접촉각(static contact angle), 후진 접촉각(RCA), 전진 접촉각(ACA) 및 슬라이딩 각도(SA)를 각 샘플에 대해 측정하였다. KRUSS 드롭상 분석기 모델 100을 사용하여 정적 및 동적 접촉각을 측정하였다. 동적 접촉각 측정의 경우, DI 물의 액적 크기는 50 ㎕ (마이크로리터)였으며, 웨이퍼 스테이지 기울기 속도는 1 유니트/초였다. 일단 물 액적을 시험 웨이퍼 표면에 놓으면, 웨이퍼 스테이지가 즉시 기울기 시작하였다. 웨이퍼 스테이지가 기우는 동안, 액적이 원래의 위치로부터 미끄러져 떨어질 때까지 액적의 비디오를 초당 20 프레임의 속도로 촬영하였다. 이후 상기 비디오에서 각 프레임을 분석하고, 액적이 막 미끄러지기 시작할 때 프레임상의 액적의 이미지를 사용하여 이들의 대응하는 탄젠트 라인으로 동적 접촉각 (후진 및 전진)을 측정하였다. 슬라이딩 각도는 액적이 막 미끄러지기 시작하였을 때의 프레임에 대응하는 웨이퍼 스테이지 경사 각도이다. 정적 접촉각 측정에서는, 물 액적이 2.5 ㎕였으며 경사시키지 않고 시험 웨이퍼 표면에 놓았다. 접촉각은 액적의 양쪽 슬라이드 상에서 탄젠트 라인으로 측정하였다. 상기 보고된 정적 접촉각은 액적의 좌측 및 우측으로부터의 접촉각의 평균이었다. 표면 에너지는 Exteded Fowkes 이론을 사용하여 물, 디요오도메탄 및 디에틸렌 글리콜의 정적 접촉각을 기준으로 하여 계산하였다. 결과는 표 2에 제시되어 있다.
폴리머 각도 값 디에틸렌 G글리콜 디요오도메탄 표면 에너지 (mN/m)
SCA RCA ACA SA
MP-1 71.7 NA NA NA 42.5 33.4 39
MP-2 70.2 NA NA NA 44.2 33.6 38.9
MP-3 70.5 NA NA NA 44.9 33.2 38.8
AP-1 83.3 76.5 91.5 16.1 62.8 44.8 30.5
AP-2 82.1 74.6 88.8 15.5 59.7 50 30.1
AP-3 83.9 73.8 88.9 18.6 60.6 50 29.8
AP-4 91.7 83.9 95.7 23.2 66.3 55.1 27.1
AP-5 87.6 80.8 92.6 12.2 63.5 54.8 27.7
AP-6 86.9 77.8 94.8 15 64.2 53.9 27.7
AP-7 86.9 80.4 94.7 13.6 58.3 53.7 29.4
AP-8 87.6 80.3 95.7 12.7 64.4 54.2 27.6
NA = 측정되지 않음.
실시예 12(비교): 포토레지스트 조성물 1(PC-1)
제형 중 첨가제로서 폴리(iBMA/nBMA)(75/25)(AP-1)을 사용하여 PC-1을 제조하였다. 1.063 g의 PP-1을 19.360 g의 PGMEA, 및 19.360 g의 메틸-2-하이드록시이소부티레이트에 용해시켰다. 상기 혼합물에 하기 설명된 "PAG A" 0.179 g, 1-(tert-부톡시카르보닐)-4-하이드록시피페리딘 소광제 0.012 g 및 AP1 0.026 g을 가하였다. 생성된 혼합물을 기계적 롤러상에서 3시간 동안 압연시킨 다음 기공 크기가 0.2 마이크론인 Teflon 필터를 통하여 여과하였다.
Figure pat00022

실시예 13: 포토레지스트 조성물 2(PC-2)
제형 중 첨가제로서 폴리(iBMA/TBAEMA)(95/5)(AP-2)을 사용하여 PC-2를 제조하였다. 1.063 g의 PP-1을 19.360 g의 PGMEA,및 19.360 g의 메틸-2-하이드록시이소부티레이트에 용해시켰다. 상기 혼합물에 상기 설명된 "PAG A" 0.179 g, 1-(tert-부톡시카르보닐)-4-하이드록시피페리딘 소광제 0.012 g 및 AP2 0.026 g을 가하였다. 생성된 혼합물을 기계적 롤러상에서 3시간 동안 압연시킨 다음 기공 크기가 0.2 마이크론인 Teflon 필터를 통하여 여과하였다.
실시예 14(비교): 포토레지스트 조성물 3(PC-3)
제형 중 첨가제로서 폴리(iBMA/nBMA)(75/25)(AP-1)을 사용하여 PC-3을 제조하였다. 1.063 g의 PP-2를 19.360 g의 PGMEA,및 19.360 g의 메틸-2-하이드록시이소부티레이트에 용해시켰다. 상기 혼합물에 상기 설명된 "PAG A" 0.179 g, 1-(tert-부톡시카르보닐)-4-하이드록시피페리딘 소광제 0.012 g 및 AP1 0.026 g을 가하였다. 생성된 혼합물을 기계적 롤러상에서 3시간 동안 압연시킨 다음 기공 크기가 0.2 마이크론인 Teflon 필터를 통하여 여과하였다.
실시예 15: 포토레지스트 조성물 4(PC-4)
제형 중 첨가제로서 폴리(iBMA/TBAEMA)(95/5)(AP-2)을 사용하여 PC-4를 제조하였다. 1.063 g의 PP-2를 19.360 g의 PGMEA,및 19.360 g의 메틸-2-하이드록시이소부티레이트에 용해시켰다. 상기 혼합물에 상기 설명된 "PAG A" 0.179 g, 1-(tert-부톡시카르보닐)-4-하이드록시피페리딘 소광제 0.012 g 및 AP2 0.026 g을 가하였다. 생성된 혼합물을 기계적 롤러상에서 3시간 동안 압연시킨 다음 기공 크기가 0.2 마이크론인 Teflon 필터를 통하여 여과하였다.
실시예 16(비교): 포토레지스트 조성물 5(PC-5)
제형 중 첨가제로서 폴리(iBMA/nBMA)(75/25)(AP-1)을 사용하여 PC-5를 제조하였다. 1.063 g의 PP-3을 19.360 g의 PGMEA,및 19.360 g의 메틸-2-하이드록시이소부티레이트에 용해시켰다. 상기 혼합물에 상기 설명된 "PAG A" 0.179 g, 1-(tert-부톡시카르보닐)-4-하이드록시피페리딘 소광제 0.012 g 및 AP1 0.026 g을 가하였다. 생성된 혼합물을 기계적 롤러상에서 3시간 동안 압연시킨 다음 기공 크기가 0.2 마이크론인 Teflon 필터를 통하여 여과하였다.
실시예 17: 포토레지스트 조성물 6(PC-6)
제형 중 첨가제로서 폴리(iBMA/TBAEMA)(95/5)(AP-2)을 사용하여 PC-6를 제조하였다. 1.063 g의 PP-3을 19.360 g의 PGMEA,및 19.360 g의 메틸-2-하이드록시이소부티레이트에 용해시켰다. 상기 혼합물에 상기 설명된 "PAG A" 0.179 g, 1-(tert-부톡시카르보닐)-4-하이드록시피페리딘 소광제 0.012 g 및 AP2 0.026 g을 가하였다. 생성된 혼합물을 기계적 롤러상에서 3시간 동안 압연시킨 다음 기공 크기가 0.2 마이크론인 Teflon 필터를 통하여 여과하였다.
실시예 18 내지 23: 리소그래피 공정
300 mm 실리콘 웨이퍼를 AR™40A 반사방지제(Rohm and Haas Electronic Materials)로 스핀-코팅시켜 TEL CLEAN TRAC LITHIUS i+피복기/현상기 상에 제1 BARC층을 형성시켰다. 상기 웨이퍼를 215℃에서 60초간 베이킹시켜 두께가 840Å인 제1 BARC 필름을 수득하였다. 다음, 제2 BARC층을 상기 제1 BARC상에 AR™124 반사방지제(Rohm and Haas Electronic Materials)로 코팅시키고, 250℃에서 60초간 베이킹시켜 200Å top BARC 층을 생성시켰다. 이어서 포토레지스트 조성물을 상기 양면 BARC 코팅된 웨이퍼상에 코팅시키고 90℃에서 60초간 TEL CLEAN TRAC LITHIUS i+피복기/현상기 상에서 소프트-베이킹시켜 두께가 대략 900Å인 레지스트층을 제공하였다.
웨이퍼를 마스크를 통하여 ASML TWINSCAN XT:1900i 침지 스캐너상에서 1.35 NA, 0.9 외부 시그마 및 0.7 내부 시그마인 환상의 조명 조건을 사용하여 노광시켰다. 노광시킨 웨이퍼를 90℃에서 60초간 노광후 베이킹시킨 다음 n-부틸 아세테이트(NBA) 현상제를 사용하여 TEL CLEAN TRAC™ LITHIUS™ i+피복기/현상기 상에서 25초간 현상시켜 네가티브 톤 패턴을 수득하였다. 60nm에서 마스크 CD (마스크상의 불투명한 포스트의 직경) 및 90nm에서의 피치 CD (마스크 CD + 불투명한 포스트간 거리)를 사용하여 노광 에너지의 함수로서 Hitachi CG4000 CD SEM상에서 측정한 CD 값을 플로팅하여 단일 노광 NTD 공정에 대해 45nm 홀을 프린트하는데 최적인 에너지(E op)를 측정하였다. 포토레지스트의 노광범위(EL)를 측정하였다. EL은 하기식에 따라서, 표적 CD(CDt)의 ±10% 내에서 노광 에너지 (mJ/㎠) 당 CD 변화량(△CD)로 정의된다.
EL = (1.1 x CDt - 0.9 x CDt)/(1.1의 Eop x CDt - 0.9의 Eop x CDt)
45nm 홀의 국지적 CD 균일도 (CDU)는 240 CD 수치의 3σ로서 측정하였다. 각 웨이퍼에 대해, 다이 당 20개의 이미지를 촬영하였고 이미지 당 12개의 콘택트홀을 250K 확대비로 측정하였다. 결과를 표 3에 제시하였다.
실시예 포토레지스트 조성물 Eop (mJ/cm 2 ) EL (%) CDU/범위 사라지는 콘택트홀
18 (비교) PC-1 54.7 14.79 6.73/13.6 Yes
19 PC-2 53.2 12.32 6.31/12.5 No
20 (비교) PC-3 56.1 15.10 7.74/12.3 Yes
21 PC-4 55.8 14.88 7.64/16.8 No
22 (비교) PC-5 36.8 13.02 9.67/22.5 Yes
23 PC-6 36.0 9.82 7.40/17.7 No
표 3에서 관측되는 바와 같이, 염기성 잔기-함유 첨가적 폴리머가 포토레지스트 제형에 포함된 경우, 사라지는(폐쇄된) 콘택트홀의 존재가 관찰되지 않는 반면, 염기성 잔기-함유 첨가적 폴리머가 사용되지 않은 비교 조성물에 대해서는 이러한 일이 관찰되었다. 첨가적 폴리머의 염기성 잔기가 레지스트층의 표면 영역에서 콘택트 홀이 사라지도록 할 수 있는, 과도한 산의 확산을 효과적으로 중화시킬 수 있는 것으로 생각된다. 또한, 염기성 잔기-함유 첨가적 폴리머를 함유하는 포토레지스트 조성물은 Eop에서의 감소와 향상된 CDU를 나타냈다.
실시예 24 내지 32
표 4에 기재된 포토레지스트 조성물을 사용하는 점을 제외하고는 실시예 18 내지 23에서 설명된 바와 같이 리소그래피 공정을 수행한다. 이들 실시예의 경우, 다음과 같은 추가의 매트릭스 폴리머와 PAGs가 사용된다.
Figure pat00023
실시예 매트릭스 폴리머 첨가적 폴리머 PAG 용매 소광제
24 MP-4 AP-5 B PGMEA/GBL (90/10) DDEA
25 MP-4 AP-6 C PGMEA/GBL (90/10) DDEA
26 MP-4 AP-7 D PGMEA/CHO/HBM (50/20/30) tBOC-4HP
27 MP-5 AP-5 B PGMEA/CHO/HBM (50/20/30) tBOC-4HP
28 MP-5 AP-6 C PGMEA/HBM (50/50) DDEA
29 MP-5 AP-7 D PGMEA/GVL (90/10) DDEA
30 MP-6 AP-5 B PGMEA/HBM (50/50) DDEA
31 MP-6 AP-6 C PGMEA/HBM (50/50) tBOC-4HP
32 MP-6 AP-7 D PGMEA/CHO/HBM (50/20/30) DDEA
PGMEA = 프로필렌 글리콜 모노메틸 에테르 아세테이트; GBL = 감마 부티로락톤; CHO = 사이클로헥사논; HBM = 2-하이드록시이소부티르산 메틸 에스테르; DDEA = 도데실디에탄올 아민; tBOC-4HP = 1-(tert-부톡시카르보닐)-4-하이드록시피페리딘.

Claims (10)

  1. 산 불안정한 기를 포함하는 제1 폴리머;
    하기 화학식(I)을 갖는 제1 모노머로부터 형성된 제1 유니트: 및
    염기성 잔기를 갖는 제2 모노머로부터 형성된 제2 유니트를 포함하며, 여기서 상기 제1 모노머와 제2 모노머가 상이한 제2 폴리머 (여기에서 제2 폴리머는 산-불안정기가 없고 제2 폴리머의 표면 에너지는 상기 제1 폴리머의 표면 에너지보다 더 낮다);
    광산 발생제; 및
    용매를 포함하는 포토레지스트 조성물:
    [화학식 1]
    Figure pat00024

    상기 식에서
    P는 중합가능한 작용기이고,
    R1은 치환 및 비치환된 C1 내지 C20 직쇄, 분지 및 환식 탄화수소로부터 선택되며,
    Z는 치환 및 비치환된 직쇄 또는 분지된 지방족 및 방향족 탄화수소, 및 이들의 조합으로부터 선택되는 스페이서 유니트로, 임의로 -O-, -S- 및 -COO-로부터 선택되는 결합 잔기 1개 이상을 가지며,
    n은 0 내지 5의 정수이다.
  2. 제1항에 있어서, 제1 유니트가 하기 화학식(I-1)을 갖는 모노머로부터 형성되는 포토레지스트 조성물:
    [화학식 5]
    Figure pat00025

    상기 식에서,
    R2는 수소, 불소 및 불소화 및 비-불소화 C1 내지 C3 알킬로부터 선택되며;
    R4는 불소화 및 비-불소화된 C1 내지 C15 알킬로부터 선택되고;
    X는 산소 또는 황이다.
  3. 제2항에 있어서, R4가 불소화 및 비-불소화된 C3 내지 C8 알킬로부터 선택되는 포토레지스트 조성물.
  4. 제1항 내지 3항 중 어느 한 항에 있어서, 제2 유니트가 (알킬)아크릴레이트, 비닐, 알릴 및 말레이미드로부터 선택된 중합가능한 기와 아민으로부터 선택되는 염기성 잔기를 포함하는 모노머로부터 형성되는 포토레지스트 조성물.
  5. 제1항 내지 3항 중 어느 한 항에 있어서, 제2 유니트가 (알킬)아크릴레이트, 비닐, 알릴 및 말레이미드로부터 선택된 중합가능한 기와 아미드로부터 선택되는 염기성 잔기를 포함하는 모노머로부터 형성되는 포토레지스트 조성물.
  6. 제1항 내지 5항 중 어느 한 항에 있어서, 염기성 잔기-함유 유니트가 하기 모노머 중 1종 이상으로부터 선택되는 모노머로부터 형성되는 포토레지스트 조성물:
    Figure pat00026

    Figure pat00027
  7. 제6항에 있어서, 염기성 잔기-함유 유니트가 하기 모노머 1종 이상으로부터 선택되는 모노머로부터 형성되는 포토레지스트 조성물:
  8. 기판과 상기 기판의 표면 위에 제1항 내지 7항 중 어느 한 항의 포토레지스트 조성물층을 포함하는 코팅된 기판.
  9. (a) 기판의 표면위에 패턴화시킬 층 1개 이상을 포함하는 기판을 제공하는 단계;
    (b) 패턴화시킬 1개 이상의 층 위에 제1항 내지 7항 중 어느 한 항의 포토레지스트 조성물층을 도포하는 단계;
    (c) 상기 포토레지스트 조성물층을 화학 방사선에 패턴식으로 노광시키는 단계;
    (d) 상기 노광시킨 포토레지스트 조성물층을 노광후 베이크 공정에서 가열하는 단계; 및
    (e) 상기 포토레지스트 조성물층에 유기 용매를 포함하는 현상제를 도포하는 단계로, 이때 상기 포토레지스트층의 비노광 영역이 현상제에 의해 제거되어, 패턴화시킬 층 1개 이상 위에 포토레지스트층이 남게되는 단계를 포함하는,
    네가티브 톤 현상에 의해 포토리소그래픽 패턴을 형성시키는 방법.
  10. 제9항에 있어서, 패턴식 노광이 침지 리소그래피(immersion lithography)에 의해 수행되는 방법.
KR1020130091080A 2012-07-31 2013-07-31 포토레지스트 조성물 및 포토리소그래픽 패턴의 형성 방법 KR102182234B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261678094P 2012-07-31 2012-07-31
US61/678,094 2012-07-31

Publications (2)

Publication Number Publication Date
KR20140016855A true KR20140016855A (ko) 2014-02-10
KR102182234B1 KR102182234B1 (ko) 2020-11-24

Family

ID=50025821

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130091080A KR102182234B1 (ko) 2012-07-31 2013-07-31 포토레지스트 조성물 및 포토리소그래픽 패턴의 형성 방법

Country Status (5)

Country Link
US (2) US9158198B2 (ko)
JP (1) JP6254377B2 (ko)
KR (1) KR102182234B1 (ko)
CN (1) CN103576458B (ko)
TW (2) TWI581062B (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5952613B2 (ja) * 2012-03-30 2016-07-13 富士フイルム株式会社 レジストの現像方法、レジストパターンの形成方法およびモールドの製造方法並びにそれらに使用される現像液
JP6254377B2 (ja) * 2012-07-31 2017-12-27 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト組成物およびフォトリソグラフィパターンを形成する方法
US9726974B2 (en) * 2013-11-26 2017-08-08 Sumitomo Chemical Company, Limited Resin, photoresist composition, and method for producing photoresist pattern
US20150185607A1 (en) * 2013-12-31 2015-07-02 Rohm And Haas Electronic Materials Llc Photoresist overcoat compositions
CN105022224A (zh) * 2013-12-31 2015-11-04 罗门哈斯电子材料有限公司 光刻方法
CN105940348B (zh) 2014-02-12 2019-12-06 日产化学工业株式会社 包含含有氟的表面活性剂的膜形成用组合物
JP6455979B2 (ja) * 2014-03-18 2019-01-23 Hoya株式会社 レジスト層付ブランク、その製造方法、マスクブランクおよびインプリント用モールドブランク、ならびに転写用マスク、インプリント用モールドおよびそれらの製造方法
TWI582536B (zh) * 2014-10-31 2017-05-11 羅門哈斯電子材料有限公司 圖案形成方法
JP6134367B2 (ja) 2014-10-31 2017-05-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト保護膜組成物
US9477150B2 (en) * 2015-03-13 2016-10-25 Heraeus Precious Metals North America Daychem LLC Sulfonic acid derivative compounds as photoacid generators in resist applications
CN106094431B (zh) 2015-04-30 2020-06-26 罗门哈斯电子材料韩国有限公司 光致抗蚀剂组合物和方法
KR101848656B1 (ko) 2015-04-30 2018-04-13 롬엔드하스전자재료코리아유한회사 오버코트 조성물 및 포토리소그래피 방법
TWI636326B (zh) 2015-05-15 2018-09-21 南韓商羅門哈斯電子材料韓國公司 光鹼產生劑及包括其的光致抗蝕劑組成物
EP3321735B1 (en) 2015-07-10 2022-11-23 Musashino Chemical Laboratory, Ltd. Method for producing organic acid ester-based liquid, and method for producing resist solvent for manufacturing electronic components or rinse agent for manufacturing electronic components
TWI672562B (zh) 2015-09-30 2019-09-21 南韓商羅門哈斯電子材料韓國公司 光致抗蝕劑組合物及方法
CN106556972B (zh) 2015-09-30 2021-07-27 罗门哈斯电子材料韩国有限公司 用于光刻的罩面层组合物和方法
JP6730417B2 (ja) 2017-12-31 2020-07-29 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト組成物および方法
JP2021124722A (ja) * 2020-02-04 2021-08-30 信越化学工業株式会社 ポジ型レジスト材料及びパターン形成方法
JP6956288B2 (ja) * 2020-04-30 2021-11-02 東京エレクトロン株式会社 基板処理方法、プラズマ処理装置、及びエッチングガス組成物
US11874603B2 (en) 2021-09-15 2024-01-16 Rohm And Haas Electronic Materials Korea Ltd. Photoresist composition comprising amide compound and pattern formation methods using the same
CN115207144B (zh) * 2022-06-07 2023-07-07 中国建材国际工程集团有限公司 太阳能电池组件的刻槽填充方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090004711A (ko) * 2007-07-04 2009-01-12 신에쓰 가가꾸 고교 가부시끼가이샤 레지스트 재료 및 이것을 이용한 패턴 형성 방법
JP2009080482A (ja) * 2007-09-04 2009-04-16 Fujifilm Corp ポジ型レジスト組成物及びそれを用いたパターン形成方法
JP2012022100A (ja) * 2010-07-13 2012-02-02 Fujifilm Corp 感活性光線性又は感放射線性樹脂組成物、及びそれを用いたパターン形成方法
JP2012128383A (ja) * 2010-03-30 2012-07-05 Fujifilm Corp 感活性光線性又は感放射線性樹脂組成物及びそれを用いたパターン形成方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3940820B2 (ja) * 1995-10-27 2007-07-04 ダイキン工業株式会社 樹脂組成物およびそれを用いた成形品とその製法
WO1997033198A1 (en) 1996-03-07 1997-09-12 The B.F. Goodrich Company Photoresist compositions comprising polycyclic polymers with acid labile pendant groups
TW200405128A (en) * 2002-05-01 2004-04-01 Shinetsu Chemical Co Novel sulfonyldiazomethanes, photoacid generators, resist compositions, and patterning process
EP1566694B1 (en) * 2004-02-20 2014-04-02 FUJIFILM Corporation Positive resist composition and pattern forming method using the same
JP4288520B2 (ja) 2006-10-24 2009-07-01 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
JP4617337B2 (ja) 2007-06-12 2011-01-26 富士フイルム株式会社 パターン形成方法
JP5101541B2 (ja) * 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
EP2189844A3 (en) * 2008-11-19 2010-07-28 Rohm and Haas Electronic Materials LLC Compositions comprising sulfonamide material and processes for photolithography
JP5589281B2 (ja) * 2008-12-25 2014-09-17 セントラル硝子株式会社 含フッ素化合物、含フッ素高分子化合物、レジスト組成物及びそれを用いたパターン形成方法
CN101943860B (zh) * 2009-06-08 2013-12-11 罗门哈斯电子材料有限公司 平版印刷方法
JP5450114B2 (ja) * 2010-01-08 2014-03-26 富士フイルム株式会社 パターン形成方法、化学増幅型レジスト組成物及びレジスト膜
JP5598352B2 (ja) * 2010-02-16 2014-10-01 信越化学工業株式会社 化学増幅ポジ型レジスト組成物及びパターン形成方法
IL213195A0 (en) 2010-05-31 2011-07-31 Rohm & Haas Elect Mat Photoresist compositions and emthods of forming photolithographic patterns
TWI506370B (zh) * 2011-01-14 2015-11-01 Shinetsu Chemical Co 圖案形成方法及使用於該方法之光阻組成物
JP5741521B2 (ja) * 2011-05-11 2015-07-01 信越化学工業株式会社 レジスト組成物及びパターン形成法
EP2527377A1 (en) 2011-05-27 2012-11-28 Rohm and Haas Electronic Materials LLC Surface active additive and photoresist composition comprising same
KR102025782B1 (ko) * 2012-03-19 2019-09-26 제이에스알 가부시끼가이샤 레지스트 패턴 형성 방법 및 포토레지스트 조성물
JP2013242397A (ja) * 2012-05-18 2013-12-05 Fujifilm Corp ネガ型パターン形成方法、電子デバイスの製造方法、電子デバイス及び感活性光線性又は感放射線性樹脂組成物
JP6254377B2 (ja) * 2012-07-31 2017-12-27 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC フォトレジスト組成物およびフォトリソグラフィパターンを形成する方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090004711A (ko) * 2007-07-04 2009-01-12 신에쓰 가가꾸 고교 가부시끼가이샤 레지스트 재료 및 이것을 이용한 패턴 형성 방법
JP2009080482A (ja) * 2007-09-04 2009-04-16 Fujifilm Corp ポジ型レジスト組成物及びそれを用いたパターン形成方法
JP2012128383A (ja) * 2010-03-30 2012-07-05 Fujifilm Corp 感活性光線性又は感放射線性樹脂組成物及びそれを用いたパターン形成方法
JP2012022100A (ja) * 2010-07-13 2012-02-02 Fujifilm Corp 感活性光線性又は感放射線性樹脂組成物、及びそれを用いたパターン形成方法

Also Published As

Publication number Publication date
KR102182234B1 (ko) 2020-11-24
US20160103393A1 (en) 2016-04-14
TWI581062B (zh) 2017-05-01
JP2014032407A (ja) 2014-02-20
TWI498674B (zh) 2015-09-01
CN103576458B (zh) 2018-02-27
CN103576458A (zh) 2014-02-12
US9482945B2 (en) 2016-11-01
TW201413377A (zh) 2014-04-01
US9158198B2 (en) 2015-10-13
TW201543158A (zh) 2015-11-16
US20140038102A1 (en) 2014-02-06
JP6254377B2 (ja) 2017-12-27

Similar Documents

Publication Publication Date Title
KR102182234B1 (ko) 포토레지스트 조성물 및 포토리소그래픽 패턴의 형성 방법
KR101854145B1 (ko) 포토레지스트 조성물 및 포토리소그래피 패턴 형성 방법
KR102269796B1 (ko) 패턴 형성 방법들
US10564542B2 (en) Photoresist compositions and methods
EP2527919A1 (en) Photoresist compositions and methods of forming photolithographic patterns
JP6913655B2 (ja) フォトレジスト組成物及び方法
EP2492750A1 (en) Photoresist compositions and methods of forming photolithographic patterns
KR101826110B1 (ko) 포토레지스트 오버코트 조성물
US11829069B2 (en) Photoresist compositions and methods
KR102022922B1 (ko) 모노머, 폴리머 및 포토레지스트 조성물

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant