KR20130035198A - 모듈식 반도체 처리 시스템 - Google Patents

모듈식 반도체 처리 시스템 Download PDF

Info

Publication number
KR20130035198A
KR20130035198A KR1020120104952A KR20120104952A KR20130035198A KR 20130035198 A KR20130035198 A KR 20130035198A KR 1020120104952 A KR1020120104952 A KR 1020120104952A KR 20120104952 A KR20120104952 A KR 20120104952A KR 20130035198 A KR20130035198 A KR 20130035198A
Authority
KR
South Korea
Prior art keywords
substrate
processing
reactor
processing unit
substrate transfer
Prior art date
Application number
KR1020120104952A
Other languages
English (en)
Other versions
KR101764444B1 (ko
Inventor
테오도루스 지.엠. 우스테르라켄
Original Assignee
에이에스엠 인터내셔널 엔.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 인터내셔널 엔.브이. filed Critical 에이에스엠 인터내셔널 엔.브이.
Publication of KR20130035198A publication Critical patent/KR20130035198A/ko
Application granted granted Critical
Publication of KR101764444B1 publication Critical patent/KR101764444B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

다수의 독립적으로 작동 가능한 기판 처리 유닛들(100)을 포함하는, 모듈식 반도체 기판 처리 시스템(1)이 나타내진다. 각각의 유닛(100)은 반응기 모듈(104) 및 기판 전달 모듈(102)을 포함한다. 시스템(1) 안에, 다른 유닛들(100)의 기판 전달 모듈들(102)은 기판들(116)이 그것들 사이에 교환될 수 있도록 연속적으로 상호 연결된다. 이웃하는 처리 유닛들(100) 사이에 기판들(116)의 교환은 각각의 쌍의 이웃하는 처리 유닛들과 연관된 공유의 기판 핸드-오프 구역(130)에 의해 수월해진다. 기판들의 실질적인 전달은 바람직하게 SCARA-유형일 수 있는, 기판 핸들링 로봇(122)에 의해 수행된다.

Description

모듈식 반도체 처리 시스템{MODULAR SEMICONDUCTOR PROCESSING SYSTEM}
본 발명은 반도체 처리 분야, 특히 모듈식 반도체 처리 시스템에 관련되며, 다수의 독립적으로 작동 가능하고, 상호 간에 결합 가능한 처리 유닛들은 하나의 도구를 형성하도록 통합되며, 기판들은 자동식으로 하나의 처리 유닛에서 다른 처리 유닛으로 선택적으로 전달될 수 있다.
예를 들어, 수직 배치로들 같은 반도체 처리 장치는 종래에 공지되어 있다. 단일의 반도체 처리 장치는 보통 편평한 기판들의 배치 또는 단일의 편평한 기판상에, 산화, 담금질 또는 화학적 증기 증착 같은, 하나의 특정한 처리 단계를 수행하도록 구성될 수 있다. 다양한 연속적인 처리들이 단일의 기판을 완전히 처리하기 위해 요구될 수 있으므로, 반도체 제조 설비는 다양한 반도체 처리 장치를 구비할 수 있으며, 각각은 요구되는 처리 단계들 중 하나를 수행하도록 구성될 수 있다. 기판들은 기판 카세트 또는 포드(pod) 안에 다른 반도체 처리 장치 사이에서 전달될 수 있으며, 보호되거나, 가능한 밀폐된 환경에서 다수의 기판들을 유지할 수 있다. 포드들은 표준화되며 따라서 다른 업체들의 도구들에도 접착 가능하다. 포드들에 의해 도구들 사이에 기판들을 운송하는 것이 증명된 방법일지라도, 경로는 상대적으로 느리고 노동집약적이다. 게다가, 어떤 일련의 처리들을 위해 기판들이 그것들 주위에 보호되는 환경을 변화시키거나 파괴하는 것 없이 하나의 도구에서 다른 도구로 전달될 수 있다면 유익할 것이다.
특히 포드들의 노동집약적인 이용을 감소시키기 위해, 총 기판 처리량을 증가시키기 위해 및 다양한 처리들의 질을 개선하기 위해, 크기 조절 가능한 도구들을 설계하도록 시도되며, 즉, 많은 다른 처리 유닛들이 결합 될 수 있고, 처리 유닛들의 수 및 유형은 총 제작 공정을 정의하는 일련의 처리들의 변화를 자유롭게 수용하도록 변화될 수 있다. 그러나, 많은 그러한 시도들은 독립적으로 작동시키는 능력 또는 독립형의 유닛들 같은 그것들의 경쟁력을 손상시켜, 개별적인 처리 유닛들의 설계에 주된 양보를 요구한다. 다른 시도들은 지나치게 복잡하며 따라서 상대적으로 비싸고 신뢰할 수 없는 설계들을 낳는다. 후자 유형의 모듈식 반도체 처리 장치의 예시는 미국 특허 제4,824,309호(카케히 에 알.)에 의해 나타내진다.
본 발명의 목적은 다수의 처리 유닛들을 포함하는 모듈식 반도체 기판 처리 시스템을 제공하는 것이며, 각각의 유닛들은 독립형 시스템 같이 독립적으로 작동되거나, 많은 처리 유닛들에 결합 될 수 있어서, 하나의 처리 유닛에 존재하는 기판들이 자동화 방식으로 하나 또는 다수의 처리 유닛들에 의해 선택적으로 처리되고 그 사이로 내부적으로 전달되도록 한다.
본 발명의 추가의 목적은 결합된 처리 유닛들 사이에 연결되는 부품들이 구조적으로 간단하고 신뢰할 수 있는 모듈식 반도체 기판 처리 시스템을 제공하는 것이다.
이를 위해, 본 발명의 제 1 측면은 다수의 독립적으로 작동 가능한 기판 처리 유닛들을 포함하는 모듈식 반도체 기판 처리 시스템에 대한 것이다. 각각의 처리 유닛은 유닛의 후방 측에, 적어도 하나의 기판을 처리하기 위해 적어도 하나의 반응기를 포함하는 반응기 모듈을 포함한다. 각각의 처리 유닛은 유닛의 전방 측에 기판 전달 모듈을 더 포함한다. 기판 전달 모듈은 적어도 하나의 전방 벽 및 두 개의 측벽들에 의해 정의되는 기판 전달 챔버; 적어도 하나의 기판 카세트를 수용하기 위한 기판 전달 챔버의 전방 벽 내에 제공되는 기판 카세트 I/O-포트; 기판 전달 챔버 안에 배치되어 반응기 모듈 및 I/O-포트에서 수용되는 기판 카세트 사이에 기판들을 전달하게 하는 기판 핸들링 로봇; 및 기판 전달 챔버의 측벽들 중 적어도 하나에 제공되고, 개별적인 처리 유닛들을 상호 연결하기 위해 및 기판 전달 챔버들 사이에 임의로 폐쇄 가능한 기판 전달 통로를 정의하기 위해 다른 처리 유닛의 결합 제공부와 상조하도록 구성되는 결합 제공부를 포함한다. 상기 시스템 내에, 처리 유닛들은 결합 제공부들을 거쳐 연속적으로 상호 연결된다. 상기 시스템은 또한 적어도 하나의 기판을 일시적으로 유지하도록 구성되는 적어도 하나의 기판 핸드-오프 구역을 포함한다. 적어도 하나의 기판 핸드-오프 구역은 한 쌍의, 그리고 바람직하게 각각의 쌍의, 이웃하는 상호 연결되는 처리 유닛들과 연관되고 그것들 사이에 기판 전달 통로 및/또는 각각의 쌍의 이웃하는 처리 유닛들의 기판 전달 챔버들 중 하나 안에 적어도 부분적으로 배치된다. 쌍(들)의 이웃하는 처리 유닛들의 기판 핸들링 로봇들은 기판 핸드-오프 구역을 거쳐 기판들을 교환하도록 그것들의 연관된 기판 핸드-오프 구역(쌍과 연관된 핸드-오프 구역)에 접근 가능하도록 구성된다.
본 발명의 제 2 측면은 본 발명의 제 1 측면에 따른 모듈식 반도체 처리 시스템 안으로 통합 가능하도록 구성된 독립적으로 작동 가능한 반도체 기판 처리 유닛에 대한 것이다. 처리 유닛은 유닛의 후방 측에, 적어도 하나의 기판을 처리하기 위한 적어도 하나의 반응기를 포함하는 반응기 모듈을 포함한다. 처리 유닛은 유닛의 전방 측에 기판 전달 모듈을 더 포함한다. 기판 전달 모듈은 적어도 하나의 전방 벽 및 두 개의 측벽들에 의해 정의되는 기판 전달 챔버; 적어도 하나의 기판 카세트를 수용하기 위한 기판 전달 챔버의 전방 벽 내에 제공되는 기판 카세트 I/O-포트; 기판 전달 챔버 안에 배치되어 반응기 모듈 및 I/O-포트에서 수용되는 기판 카세트 사이에 기판들을 전달 가능하게 하는 기판 조종 로봇; 및 기판 전달 챔버의 적어도 하나의 측벽들에 제공되고, 개별적인 처리 유닛들을 상호 연결하기 위해 및 기판 전달 챔버들 사이에 임의로 폐쇄 가능한 기판 전달 통로를 정의하기 위해 다른 처리 유닛의 결합 제공부와 상조하도록 구성되는 결합 제공부를 포함한다. 기판 전달 모듈은 또한 적어도 하나의 기판을 일시적으로 유지하도록 구성되고, 결합 제공부를 포함하는 측벽 및 기판 핸들링 로봇 사이에 측면으로, 기판 전달 챔버 내에 배치되는 적어도 하나의 기판 핸드-오프 구역을 포함한다.
본 발명의 제 3 측면은 모듈식 반도체 처리 시스템을 작동하는 방법에 대한 것이다. 상기 방법은 본 발명의 제 1 측면에 따른 모듈식 반도체 처리 시스템을 제공하는 단계를 포함한다. 그것은 시스템의 처리 유닛의 기판 카세트 I/O-포트에 적어도 하나의 기판을 유지하는 기판 카세트를 도킹하는 단계, 및 도킹된 기판 카세트에서 제 1 처리 유닛의 반응기로, 제 1 처리 유닛의 반응기에서 핸드-오프 구역으로, 및 핸드-오프 구역에서 제 2 처리 유닛의 반응기로 연속적으로 연장하는 처리 경로를 따라 적어도 하나의 기판을 전달하는 단계를 더 포함한다.
본 발명의 이것들 및 다른 특징들 및 이점들은 본 발명을 한정하지 않고 도시되도록 의도되는, 부수하는 도면들과 함께 취해진, 본 발명의 특정한 실시예들의 다음의 상세한 설명으로부터 더 충분히 이해될 것이다.
본 명세서 내에 포함되어 있음.
도 1은 본 발명에 따른 단일의, 예시적인 반도체 처리 유닛의 개략도이다;
도 2는 본 발명에 따른 예시적인 모듈식 반도체 처리 시스템의 개략도이며, 도 1에 도시된 네 개의 처리 유닛들을 포함한다; 및
도 3은 본 발명에 따른 제 2 예시적인 모듈식 반도체 처리 시스템의 개략도이며, 각각이 클러스터 도구를 포함하는 두 개의 상호 연결되는 처리 시스템들을 포함하고, 처리 시스템들 중 하나에는 포드들을 위한 적재기 시스템이 제공된다.
도 1은 본 발명에 따른 단일의 반도체 처리 유닛(semiconductor processing unit; 100)의 개략도이다. 도시된 처리 유닛(100)은 수직 배치로(vertical batch furnace)이며, 독립형의 도구로서 분리되어 도시된다. 도 2는 본 발명에 따른 예시적인 모듈식 반도체 처리 시스템(modular semiconductor processing system; 1)의 개략도이며, 도 1에 도시된 유형의 네 개의 처리 유닛들(100)을 포함한다. 단일의 처리 유닛(100)의 구조 및 작동은 우선 도 1을 참조하여 일반적인 용어들로 간결하게 명료해질 것이다. 시스템(1) 안에 다양한 처리 유닛들(100)의 통합은 도 2를 참조하여 논의될 것이다.
반도체 처리 유닛(100)은 기판 전달 모듈(substrate transfer module; 102), 및 기판 전달 모듈(102)에 추가되어 모 도구(parent tool)를 형성하는 반응기 모듈(reactor module; 104)을 포함한다. 기판 전달 모듈(102)은 인터페이스(interface)로 간주될 수 있으며, 기판들(substrates; 116), 예를 들어 실리콘 웨이퍼들(silicon wafers)은 기판 전달 모듈의 전방 측에 도킹된(docked) 기판 카세트들(substrate cassettes; 114) 또는 초-무균의 포드들(ultra-clean pods) 및 기판 전달 모듈의 후방에 제공되는 반응기 모듈(104) 내에 반응기(142; reactor) 사이에서 이동될 수 있다.
일반적인 기판 전달 모듈(102)은 기판 카세트들 또는 포드들(114)이 착탈 가능하게 도킹될 수 있는 적어도 하나의 기판 카세트 입력/출력(I/O-) 포트(substrate cassette I/O-port; 110)를 포함할 수 있다. 기판 전달 모듈이 다양한 I/O-포트들(110)과 맞춰지는 경우, 포트들은 다른 것 위에 위치된 하나의 I/O-포트 같이 수직으로 적재된 방식으로 배치되거나, 다른 것 옆에 위치된 하나의 I/O-포트 같이 수평으로 나란히 배치될 수 있다. 도시된 예시적인 처리 유닛(100)은 300㎜ 실리콘 웨이퍼들을 다루도록 구성되며, 소위 전방 개방형 유니파이드 포드들(FOUP)을 이용하여 운송될 수 있다. FOUP(114)는 반도체 제조 설비 안에 다수의 기판들(116)을 위해 폐쇄된 운반체 상자(carrier box)를 형성한다. 그것의 주된 목적은 전체적으로 제공되는 처리 유닛 안에 무균실(clean room) 환경으로부터 기판들(116)을 분리시키는 것이다. 이를 위해, 특별한 미세-기후(micro-climate)가 생성되며 FOUP(114) 안에 유지되며, 미세-기후는 반도체 제조 설비의 일반적인 무균실 환경보다 낮은 (낮음은 더 깨끗함을 의미함) 무균실 등급을 구비할 수 있다. 따라서, FOUP(114)들의 이용은 고-등급의 무균실들을 위해 요구되는 투자를 절감할 수 있다. FOUP(114)를 수용하기 위해, 도 1의 처리 유닛(100)은 기판 전달 모듈(102)의 전방 측에 수직으로 적재된 방식으로 배치된, 두 개의 I/O-포트들(110)과 맞춰진다. FOUP(114)는 그것의 I/O-포트들(110) 중의 하나에 그것을 부착하는 것에 의해 기판 전달 모듈(102)에 도킹될 수 있다. 이것은 개별적인 I/O-포트(120)의 수평으로 이동하는 도어(door; 112)가 FOUP 내부에 기판들(116)이 접근 가능하도록, 및 기판 전달 모듈(102)의 기판 전달 챔버(substrate transfer chamber; 120) 내의 대기와 유체 소통하여 FOUP(114) 내부에 미세-기후가 가져와지도록 개방되는 것을 허용한다.
기판 전달 모듈(102)의 기판 전달 챔버(120)는 전방 벽(front wall; 120a), 두 개의 반대되는 측면의 측벽들(side walls; 120b) 및 후방 벽(back wall; 120c)을 포함하는 다수의 경계 벽들에 의해 정의되는 작동 셀(work cell)로 간주될 수 있으며, 기판들의 통로(passage)들을 위해 제공부들(provisions; 110, 126)을 포함할 수 있다. 전방 벽(120a) 내의 I/O-포트(I/O-port; 110)에 대해 이미 도시된 바와 같이, 제공부는 대체적으로 기판 전달 통로를 정의하는 어떤 종류의(바람직하게 유체 기밀의) 게이트(gate)를 포함할 수 있으며, 통로는 연관된 게이트 개방 및 폐쇄 수단에 의해 개방되거나 폐쇄될 수 있다. 기판 전달 챔버(120)는 보호되고 조절된 대기, 예를 들어 공기 대기 또는 질소 대기를 유지할 수 있으며, 산소 수준, 습도, 온도 및/또는 입자 오염도는 특정 변수들로 제어되고 측정된다. 기판 전달 챔버(120)의 대기는 바람직하게 실질적으로 대기압에서 유지될 수 있다. 대기 안에서, 기판 전달 챔버는 기판 핸들링 로봇(substrate handling robot; 122) 및 기판 핸드-오프 구역(substrate hand-off station; 130)을 수용할 수 있다.
기판 핸들링 로봇(122)은 종래의 설계로 될 수 있으며, 예를 들어 SCARA(선별하여 순응하는 관절식 로봇 암) 또는 프로그-레그(frog-leg) 유형일 수 있다. 일반적으로, 기판 핸들링 로봇(122)은 하나 이상의 기판들을 위한 기계적 또는 음압 유형의 단부-작동체 같은 단부-작동체(end-effector; 122a)를 포함할 수 있으며, 단부-작동체는 임의로 평행하게, 구동 가능한 축들을 거쳐 상호 연결되는 많은 링크들(links)을 포함하는 관절식 암(articulated arm; 122b)의 말단부에 제공된다. 암은 암(122b)이 수직 축을 따라 이동하고 주위를 회전 가능하게 하는 리프트 메커니즘(lift mechanism)을 포함하는 중추 또는 베이스(base; 122c) 위에 그 자체로 회전 가능하게 장착될 수 있다. 따라서, 기판 핸들링 로봇(122)은 (수직 축 주위에서) 270°및 바람직하게 360° 운동 범위를 구비하여, 특히 도킹된 포드(114), 기판 핸드-오프 구역(130), 및 후술할 반응기(142)의 웨이퍼 보트(wafer boat; 144)에서 그리고 도킹된 포드(114), 기판 핸드-오프 구역(130), 및 후술할 반응기(142)의 웨이퍼 보트로, 기판들(116)을 전달하고 들어올리기 위해 변화하는 높이들에서 방사상으로 연장하고 오므려질 수 있다.
기판 핸드-오프 구역(130)은 적어도 하나의, 그리고 바람직하게 다수의 기판들을 일시적으로 유지하도록 구성된 저장소(depository)이다. 그것은 다수의 이격된 기판 유지 위치들(substrate holding positions)을 정의하는 수동적인 랙(passive rack)에 의해 형성될 수 있다. 각각의 기판 유지 위치들은 실질적으로 반대되는 측들로부터 접근 가능할 수 있어, 음식을 내는 창구(serving-hatch)를 연상시키는 방식으로, 한 측에서는 기판 유지 위치 안으로 기판의 삽입, 그리고 다른 측에서는 기판 유지 위치로부터의 기판의 제거를 가능하게 하며, 반대로 마찬가지이다. 랙은 바람직하게 수직으로 이격되는 관계로 많은 수평으로 향해진 기판들을 유지하도록 구성될 수 있다. 그러나, 다른 기판 방향들 및 공간 관계들이 더 낮은 공간 효율성을 가짐에도 불구하고 또한 이용될 수 있다는 점은 주의된다.
구조적인 관점에서, 기판 핸드-오프 구역(130)은 종래의 포드(114) 내의 기판 유지 구조와 유사할 수 있으며, 그러한 구조는 보통 반대되는 측들로부터 기판들의 삽입 및 제거를 수월하게 할 수 없을 것이다. 도시된 실시예에서, 기판 핸드-오프 구역(130)의 수동적인 랙은 두 개의 실질적으로 수직으로 연장하는 평판 부재들(plate members; 132)을 포함하며, 평판 부재들에는 그것들의 높이를 따라 규칙적으로 이격된 위치들에서, 기판 지지부들(substrate supports; 134)이 제공된다. 기판 지지부들(134)은 평판 부재들(132)로부터 돌출된 길쭉하고, 실질적으로 수평으로 연장하는 용마루들(ridges)일 수 있다. 기판 지지부들(134)은 같은 높이로 위치되는 두 개의 부재들(132)의 반대되는 측들에서 기판 유지 위치를 정의할 수 있다. 기판(116)은 도시된 대로, 돌출된 기판 지지부들(134)의 상부 표면들 위에 그것을 지지하는 것에 의해 실질적으로 수평 방향으로 기판 유지 위치에 저장될 수 있다. 기판 핸드-오프 구역(130)의 전방 및 후방 측들에서 지지부들(134)의 배치가 핸드-오프 구역(130)의 반대되는 측면들에서 기판 핸들링 로봇들(122)에 의해, 개별적으로, 그것으로부터 및 그 위에서 기판(116)의 제거 및 배치를 수월하게 한다는 것을 이해될 것이다.
기판 전달 모듈(102)의 하우징(housing)의 각각의 측면들(120b)은 결합 제공부(coupling provision; 126)를 더 포함할 수 있다. 결합 제공부(126)는 개별적인 처리 유닛들의 상호 연결을 가능하게 하여, 기판 전달 챔버들(120) 사이에 임의로 폐쇄 가능한 기판 전달 통로를 정의하도록, 다른 처리 유닛 상에 유사하거나 상보되는 결합 제공부와 상조하도록 구성될 수 있다. 처리 유닛(100)의 도시된 실시예에서, 각각의 측벽들(120b)은 개방 및 폐쇄 상태 사이에서 전환 가능한 게이트(126)의 형태로 결합 제공부를 포함한다. 그것의 개방 상태에서, 게이트(126)는 기판 전달 통로(substrate transfer passage; 126a)(도 2를 보시오)를 거쳐 게이트를 통해 기판들의 교환을 허용할 수 있으며, 반면 폐쇄 상태에서, 게이트는 바람직하게 유체 기밀 방식으로, 기판 전달 챔버(120)를 밀폐되게 분리시키고 가둘 수 있다. 도 1과 같이, 처리 유닛(100)이 독립형 사용을 위해 배치될 때, 게이트들(126)은 폐쇄될 수 있다. 그러나, 도 2에 도시된 것과 같이, 처리 유닛(100)이 처리 유닛들(100)을 더 포함하는 모듈식 시스템(1)으로 통합될 때, 제 1 처리 유닛의 게이트(126) 및 다른 것의 나란히 배치된 처리 유닛(100)의 마주보는 게이트(126)는 개방될 수 있다. 개방된 게이트들(126)은 제 1 처리 유닛의 기판 전달 챔버(120) 및 제 2 처리 유닛의 기판 전달 챔버(120) 사이에 연장하는 (공통의) 기판 전달 통로(126a)를 정의하도록 상호 연결될 수 있다.
당업자는 같은 처리 유닛(100) 상에, 또는 다른 처리 유닛들(100) 상에 결합 제공부들(126)이 구조적으로 다를 수 있다는 것을 이해할 것이다. 예를 들어, 상기 시스템의 일 실시예에서, 모든 처리 유닛들은 양 측면들에 동일한 결합 제공부들을 구비할 수 있으며, 각각의 결합 제공부는 그것의 고유한 종류의 결합 제공부에 결합될 수 있다(동종의 결합). 다른 실시예에서, 모든 처리 유닛들은 한 측면에 제 1 유형의 결합 제공부, 및 반대되는 측면에 제 2 유형의 결합 제공부를 구비하며, 제 1 유형의 결합 제공부는 제 2 유형의 결합으로 상조하도록 구성된다(이종의 결합). 그러나 다른 실시예에서, 처리 유닛들은 모두 상호 간에 교환 가능할 필요는 없다. 즉, 어떤 처리 유닛들은 그것들의 결합 제공부들의 선택에 의해, 다른 것들이 아닌 오직 선택된 처리 유닛들과 결합될 수 있도록 구성될 수 있다.
일 실시예에서, 처리 유닛(100)의 기판 전달 모듈(102)은, 특히 기판 핸드-오프 구역(130), 및 그것들 사이에 기판들의 전달을 수월하게 하도록 EFEM이 이웃하는 EMEM과의 소통을 가져오는 하나 이상의 결합 제공부들 같은 본 발명의 특징들이 추가된, 상업적으로 이용 가능한 설비 전방 단부 모듈(EFEM; equipment front end module)일 수 있다. 전형적인 EFEM은 이미 나란히 배치된 EFEM들 사이에 기판들(116)을 전달하기 위해 적합한 프로그래밍 가능한 기판 핸들링 로봇(122)을 포함할 수 있다. 따라서 처리 유닛들(100)을 포함하는 현존하는 EFEM은 본 발명에 따른 모듈식 시스템(1)에서 사용될 수 있도록 상대적으로 저렴한 구성요소들로 맞춰져 개조될 수 있다.
기판 전달 모듈(102)의 뒤를 따라, 처리 유닛(100)은 반응기 모듈(104)을 포함한다. 도 1의 예시적인 처리 유닛(100)에서, 기판 전달 모듈(102)의 후방 벽(120c)에 인접하여 위치된, 반응기 모듈(104)의 제 1 구획은 열처리로(thermal furnace)의 형태로, 다수의 기판들을 동시에 처리하기 위한 단일의 수직 배치식 반응기(142)를 수용하는 반응기 캐비닛(reactor cabinet; 140)을 포함한다. 열처리로(142)는 가열할 수 있는 종(bell) 모양의 반응 튜브(reaction tube; 142a)를 정의할 수 있으며, 하부 단부는 웨이퍼 보트(144)가 아래로부터 그 안에 안내되는 것을 허용하도록 개방될 수 있다. 처리 전에 반응 튜브(142a) 안으로 웨이퍼 보트(144)를 들어올리기 위해, 그리고 처리 후에 반응 튜브로부터 웨이퍼 보트를 낮추기 위해, 반응기(142)는 리프트 메커니즘(lift mechanism; 142c)을 포함할 수 있다. 웨이퍼 보트를 지지하는 도어 평판은 처리 동안 반응 튜브(142a)를 밀폐할 수 있다. 추가적으로, 수평으로 이동 가능한 모터 구동의 셔터 평판(shutter plate; 142b)은 웨이퍼 보트가 반응 튜브로부터 제거되는 동안 반응 튜브(142a)의 개구를 폐쇄하기 위해 및 반응 튜브로부터 방출되는 열 방사를 제한하기 위해 제공될 수 있다.
뒤에 추가하여, 반응기 모듈(104)은 동력 캐비닛(power cabinet; 150), 제어 유닛(control unit; 152), 가스 캐비닛(gas cabinet 160)을 정의할 수 있다. 동력 캐비닛(150)은 처리 유닛(100)의 모든 주요 전기 구성요소들을 수용할 수 있으며, 가스 캐비닛(160), 제어 유닛(152), 및 기판 전달 모듈(102), 특히 그것의 기판 핸들링 로봇(122)에 전기 설비들을 분배할 수 있다. 가스 캐비닛(160)은 예를 들어 압력 게이지들, 흐름 제어기들, 밸브들, 처리 가스 제어기, 및 주변 장비를 포함하는 모든 처리 가스 설비들을 수용할 수 있다. 제어 유닛(152)은 프로그래밍 가능한 CPU를 포함할 수 있으며, 특히 반응기(142) 및 기판 핸들링 로봇(122)을 포함하는 처리 유닛(100)의 처리 가스 설비들 및 모든 제어 가능한 전기 구성요소들에 작동 가능하게 연결될 수 있어, 실행하도록 구성된 프로그램에 따라 그것의 부품들 및 유닛의 작동을 제어 가능하도록 할 수 있다.
전체 처리 유닛(100), 및 더 구체적으로 반응기 캐비닛(140) 및 동력 및 가스 캐비닛들(150, 160)을 포함하는 반응기 모듈(104)은, 예를 들어 직사각형, 다각형 등 같은 모든 구성요소들을 수용하도록 요구되는 형상을 구비할 수 있다. 그러나, 반응기 모듈(104)은 바람직하게 일반적으로 L-형상의 공간(footprint)을 구비할 수 있다. 그러한 공간은 반응기 캐비닛(140) 안에 반응기(142)로, 및 동력 및 가스 캐비닛들(150, 160)에 대한 접근을 수월하게 하므로, 다른 처리 유닛들(100)(도 2를 참조하시오)과 나란히 배치되어 사용되는 처리 유닛(100)의 재구성 및 유지를 수월하게 할 수 있다. 특히 이로운 실시예에서, 반응기(142)는 예를 들어, 레일 위에서 이동하는 운송기(carriage)에 의해 반응기 캐비닛(140)의 상부 벽으로부터 매달리는 것과 같이 반응기 캐비닛 내에 이동 가능하게 장착될 수 있으며, 반응기(142)가 반응기 캐비닛(140)을 나와 L-형상의 공간의 움푹 들어간 곳(identation) 내의 유지 위치(maintenance position; 146) 안으로 이동되도록 할 수 있다.
처리 유닛(100)은 다음과 같이 독립형으로 작동될 수 있다. 하나 또는 두 개의 포드들(114)은 기판 전달 모듈(102)의 개별적인 I/O-포트들(110)에 수동으로 도킹될 수 있으며, 제어 유닛(152)이 연속적인 작동들을 개시하도록 야기할 수 있다. 예를 들어, 제어 유닛(152)은 포드들(114)로부터 기판들(116)을 들어올리도록 및 반응기 캐비닛(140) 안에 배치된 반응기(142)의 웨이퍼 보트(144) 안에 그것들을 배치시키도록 기판 핸들링 로봇(122)을 지시할 수 있다. 일단 웨이퍼 보트(144)가 완전히 채워지면, 제어 유닛(152)은 반응 튜브(142a)가 개방되고 반응 튜브(142a) 안으로 웨이퍼 보트(144)를 들어올리도록 리프트 메커니즘(142c)을 작동시키는 위치로 이동하도록 셔터(142b)를 작동시킬 수 있다. 그런 다음 제어 유닛(152)은 반응기(142) 안에 기판들(116)의 처리를 조정할 수 있다. 기판들(116)의 처리가 완료될 때, 위의 작용들은 포드들(114) 내에 기판들을 다시 수집하기 위해 역으로 실행될 수 있다. 포드 전달 시스템은 기판 전달 모듈(102)의 I/O-포트들(110)로부터 포드들(114)을 제거할 수 있으며 추후 처리를 위해 반도체 제조 설비 안에 다른 처리 유닛으로 그것들을 전달할 수 있다.
단일의 처리 유닛(100)의 구조 및 작동 상세히 설명되며, 다수의 그러한 유닛들이 모듈식 처리 시스템으로 통합될 수 있는 방식임에 주의를 기울여야 할 것이다.
도 2는 네 개의 처리 유닛들(100-1, 100-2, 100-3, 100-4)을 포함하는 예시적인 모듈식 반도체 처리 시스템(1)을 개략적으로 도시하며, 각각은 도 1을 참조하여 전술된 것과 구조적으로 동일할 수 있다. 그것들의 구조적인 유사성에도 불구하고, 처리 유닛들(100-1, 100-2, 100-3, 100-4)은 개별적으로 선택된 처리들을 수행하도록 구성될 수 있다. 즉, 예를 들어, 일련의 공정 처리들 및 개별적인 처리들의 기간의 원하는 구성에 따라, 처리 유닛들(100-1, 100-2, 100-3, 100-4)은, 오른쪽 순서로 실행될 때, 전체적으로 원하는 순서를 함께 책임지는 상호 다른 처리들을 수행하도록 구성될 수 있다.
시스템(1)의 처리 유닛들(100-1, 100-2, 100-3, 100-4)은 나란히 배치된다. 정렬되어 있는 그것들의 기판 전달 모듈들(102)과 함께, 두 개의 인접한 처리 유닛들(100-1, 100-2, 100-3, 100-4) 사이에 측면 게이트들(126)은 바람직하게 유체 기밀 방식으로, 연결되고 개방된다. 따라서 연속적으로 상호 연결된 기판 전달 챔버들(120)은 공유된 내부 대기와 공통의 기판 전달 챔버(2)를 정의한다. 도 2의 실시예에서 게이트들(126)이 영구적으로 개방될지라도, 이것들은 대체의 실시예들의 경우에 요구되지 않을 수 있다는 것은 주의된다. 예를 들어, 하나의 그러한 대체의 실시예에서, 두 개의 이웃하는 기판 전달 챔버들(120) 사이에 게이트들(126)은 보통 두 개의 인접하는 기판 전달 챔버들(120) 사이에 기판의 교환이 실질적으로 즉시 요구될 때만 선택적으로 개방되고 폐쇄될 수 있다.
추가적으로, 처리 유닛들(100-1, 100-2, 100-3, 100-4)의 제어 유닛들(152)은 결합될 수 있거나 그것들의 작동들이 서로를 향해 설계될 수 있어, 처리 유닛들이 통합된 전체로서 작동할 수 있도록 한다. 일 실시예에서, 처리 유닛들(100-1, 100-2, 100-3, 100-4)의 제어 유닛들(152) 중 하나는, 종속 제어 장치들(slaves)로서 지정될 수 있는 다른 제어 유닛들(152)의 중재를 통해 또는 직접적으로 중 하나로, 모든 결합된 처리 유닛들의 작동을 조정하도록 하는 주제어 유닛(master control unit)으로 지정될 수 있다. 주제어 유닛(152)은 특히 시스템을 통해 개별적인 기판들(116)의 실질적인 위치들 및 흐름, 포드들(14) 내의 비어 있는 기판 유지 위치들 및 기판 핸드-오프 구역들(130) 및 게이트들(126)의 상태를 추적할 수 있으며, 다양한 반응기들(142)에 의한 기판들(116)의 처리 및 그것들 사이에 기판들의 운송을 조정할 수 있다. 대체의 실시예에서, 주제어 유닛의 전술한 임무들은 추가의, 외부의 주제어 유닛(미도시)에 의해 수행될 수 있다.
주제어 유닛의 상태를 하나의 제어 유닛(152)으로 할당하는 대신에, 다른 처리 유닛들(100-1, 100-2, 1003, 100-4)의 제어 유닛들이 양자택일적으로 독립적으로 작동하도록 구성되거나, 다른 처리 유닛들로 기판들을 출력할 수 있거나 다른 처리 유닛들로부터 기판들을 수용할 수 있도록 결합될 수 있다는 사실에 의해서만 구성될 수 있다고 고려된다. 개별적인 처리 유닛(100-1, 100-2, 100-3, 100-4)의 제어 유닛(152)에는, 예를 들어 개별적인 처리 유닛의 반응기(142) 같은 제 3 위치로부터 위로 기판을 들어올리고 제 3 위치로 기판을 개재하여 전달하는 것에 의해, 예를 들어 기판 핸드-오프 구역(130) 또는 개별적인 처리 유닛의 기판 카세트 I/O-포트(110) 같은 제 1 위치로부터 기판들을 들어올리기 위해, 그리고 이웃하는 처리 유닛의 기판 핸드-오프 구역(130) 또는 개별적인 처리 유닛의 기판 카세트 I/O-포트 같은 제 2 위치로 그것들을 전달하기 위해 지시들(instructions)이 제공될 수 있다.
어떻게 상호 연결된 처리 유닛들(100-1, 100-2, 100-3 및 100-4)이 상조하는지를 도시하기 위해, 도 2는 두 개의 다른 처리 경로들(process routes; 170a, 170b)을 개략적으로 도시한다. 처리 경로는 기판(116)이 따라가는 시스템(1)을 통한 경로이며, 제 1 기판 카세트 I/O-포트(110)로부터, 하나 이상의 반응기들(142)을 거쳐, 다시 시스템(1)의 동일하거나 다른 기판 카세트 I/O-포트(110)로 연장한다. 시스템의 제어 유닛들(152)은 시스템(1)에 들어가는 각각의 개별적인 기판에 처리 경로를 할당하며, 특히 기판 핸들링 로봇들(122)이 빠르고 신뢰할 수 있게 실행하도록 처리 경로를 제어할 수 있다.
처리 경로(170a)는 처리 유닛(100-2)의 기판 카세트 I/O-포트(110)로부터, 처리 유닛(100-2)의 반응기(142), 처리 유닛(100-3)의 반응기, 처리 유닛(100-4)의 반응기 및 처리 유닛(100-4)의 기판 카세트 I/O-포트로 연속적으로 연장한다. 이러한 처리 경로(170a)의 실행에서, 처리 유닛(100-2)의 기판 핸들링 로봇(122)은 우선 유닛의 기판 카세트 I/O-포트에 도킹된 기판 카세트(114)로부터 기판(116)을 내릴 수 있으며, 그것의 반응기(142)의 웨이퍼 보트(144)로 기판을 전달할 수 있다. 일단 반응기(142)에 의해 처리가 완료되면, 처리 유닛(100-2)의 기판 핸들링 로봇(122)은 처리 유닛(100-2)의 웨이퍼 보트(144)로부터 기판을 내릴 수 있으며, 이웃하는 처리 유닛(100-3)의 기판 핸드-오프 구역(130)으로 그것을 전달할 수 있다. 나란히 배치된 처리 유닛들(100-2 및 100-3)의 게이트들(126)이 정렬되어 개방되고 연결되므로, 그러한 전달에 방벽(barrier)은 없다: 처리 유닛(100-2)의 웨이퍼 핸들링 로봇(122)은 처리 유닛들(100-2 및 100-3)의 기판 전달 챔버들(120)을 연결하는 기판 전달 통로(126a)를 통해 간단히 도달할 수 있으며, 처리 유닛(100-3)의 기판 핸드-오프 구역(130)의 비어 있는 기판 유지 위치 내에 기판을 위치시킬 수 있다. 처리 유닛(100-3)의 기판 핸들링 로봇(122)은 처리 유닛(100-3)의 기판 핸드-오프 구역(130)으로부터 기판을 들어올릴 수 있으며, 처리 유닛(100-3)의 반응기(142)의 웨이퍼 보트(144)에 위로 그것을 지나가게 할 수 있다. 처리 유닛(100-3)의 반응기에 의한 기판(116)의 처리가 완료되면, 기판(116)은 유사하게 처리 유닛(100-4)의 웨이퍼 핸드-오프 구역, 처리 유닛(100-4)의 반응기(142) 및 처리 유닛(100-4)의 기판 카세트 I/O-포트에 도킹된 기판 카세트(114)에 위로 지나가게 할 수 있다.
도 2는 여기에서 처리 유닛(100-2)의 기판 카세트 I/O-포트에 도킹된 기판 카세트(114)인, 같은 기판 카세트(114)로부터의 기판들이 시스템을 통과하여 같은 경로를 반드시 따를 필요가 없다는 것을 가리키기 위해, 두 개의 다른 예시적인 처리 경로들(170a, 170b)을 도시한다. 각각의 기판(116)은 그것의 고유한 처리 경로로 할당될 수 있으며, 특별한, 개별적인 지시들에 따라 처리될 수 있다. 따라서, 처리 유닛(100-2)의 반응기(142)의 출력은 두 개의 이웃하는 처리 유닛들(100-1 및 100-3) 사이에 분할될 수 있다. 이것은, 예를 들어, 제 1 처리 공정을 실시하도록 구성된 처리 유닛의 기판 수용력(즉, 동시에 처리 가능한 기판들) 및/또는 효율적인 처리량(단위 시간당 기판들)이 제 2의, 연속적인 처리 공정을 실시하도록 구성된 처리 유닛의 그것보다 더 높을 때 이로울 수 있다. 도 2의 실시예의 경우에서, 처리 유닛(100-2)은 제 1 처리 공정을 실시하도록 구성될 수 있으며, 처리 유닛(100-2)으로부터 기판 출력 흐름은 이웃하는 처리 유닛들(100-1 및 100-3) 사이에서 분할될 수 있고, 같은 제 2 처리 공정을 실시하도록 동일하게 구성될 수 있다. 다른 실시예들에서, 두 처리 유닛들의 결합된 출력은 유사하지만 다른 고려사항들에 의해 그것들의 공통된 이웃하는 것으로 보내질 수 있다.
도 2의 모듈식 반도체 처리 시스템(1)은 더 쉽게 재구성될 수 있고 매우 크기 조절 가능하다는 것은 명백할 것이다. 예를 들어, 하나 이상의 기판들의 제조/처리 공정에서 변화를 수용하기 위해, 적어도 요구되는 처리들이 시스템(1) 내에 존재하는 처리 유닛들(100)에 의해 모두 제공될 수 있다면, 이러한 기판들에게 할당된 처리 경로를 변화하기에 충분할 수 있다. 그 대신에, 하나 이상의 반도체 처리 유닛들(100)은 원하는 처리들을 수행할 수 있도록, 바람직하게 논리적으로 경제적인 처리 경로를 따라, 예를 들어 그것들에 다른 처리 가스 공급원들을 제공하는 하는 것에 의해/그것들을 다른 처리 가스 공급원들에 연결하는 것에 의해 재구성될 수 있다. 그러한 재구성은 처리 유닛들(100)의 반응기 모듈들(104)의 L-형상의 공간에 의해 수월해질 수 있으며, 작업자들에게 나란히 배치된 유닛들의 가스 캐비닛(160), 제어 유닛들(152) 및 동력 캐비닛들(150)에 대한 접근을 제공할 수 있다. 제조 공정이 하나 이상의 처리들로 연장되는 것인 경우, 시스템(1)은 따라서 이러한 처리들을 수행하도록 구성된 하나 이상의 처리 유닛들(100)로 연장될 수 있다. 시스템 내에서 처리 유닛들의 수는 자유롭게 변화될 수 있다. 연장(extention)은 시스템(1)의 말단 처리 유닛(100-1, 100-4)에 새로운 처리 유닛(100)을 연결하는 것에 의해, 또는 두 개의 이미 연속적으로 연결된 처리 유닛들 사이에 그것을 삽입하는 것에 의해 간단하게 달성될 수 있으며, 반면 축소(reduction)는 반대 작용에 의해 달성될 수 있다. 시스템(1)으로부터 제거된 처리 유닛들(100)은 독립형의 구성으로 사용되거나, 다른 시스템(1)의 일부로서 사용될 수 있다.
완성된 일련의 처리들을 수행하기 위해, 하나 이상의 독립형 처리 유닛들(100)과 결합하여, 반도체 제조 설비가 하나 이상의 모듈식 시스템(1)을 이용하는, 포드들(114)은 시스템들(1) 사이에서 교환되도록 구비될 수 있으며, 임의로, 독립형의 처리 유닛들(100)로 구비될 수 있다. 반도체 제조 설비 주위에 포드들(114)의 그러한 이송, 그리고 처리 유닛(100)의 기판 전달 모듈(102)의 I/O-포트(110)로부터 및 처리 유닛(100)의 기판 전달 모듈(102)의 I/O-포트(110)로 포드(114)의 도킹 및 도킹 해제(undocking)는 원칙적으로 작업자에 의해 수동으로 수행될 수 있다. 그러나, 채워진(loaded) 포드(114)의 상대적으로 큰 무게에 의해, 작동의 이러한 모드는 일반적으로 추천되지 않는다. 대안으로써, 기판 전달 모듈(102)로부터 기판 전달 모듈(102)로 포드(114)의 도킹 및 도킹 해제, 운송을 수행하기 기술적인 설비들은 아래에 설명될 것이다.
모듈식 반도체 처리 시스템(1)의 일 실시예에서, 포드(114)는 하나의 모듈식 처리 시스템(1) 또는 처리 유닛(100)으로부터 다른 것으로 포드들(114)을 운송하도록 구성된, 종래의 포드 운송 시스템에 의해 기판 전달 모듈(102)의 I/O-포트(110)로부터 도킹 해제되고 기판 전달 모듈(102)의 I/O-포트(110)로 도킹되고, 기판 전달 모듈(102)의 I/O-포트(110)로부터 및 기판 전달 모듈(102)의 I/O-포트(110)로 운송될 수 있다. 그러한 포드 운송 시스템은 예를 들어 오버헤드 레일 시스템(overhead rail system), 또는 자동으로 안내되는 운반 수단(automated guided vehicle)을 포함할 수 있다.
모듈식 반도체 처리 시스템(1)의 대체의 실시예에는 포드들을 위한 적재기 시스템(stocker system)이 제공될 수 있다. 그러한 처리 유닛에 의해 처리될 기판들의 일회분이 다양한 포드들로부터의 기판들을 포함하고, 그 일회분의 로딩(loading)이 처리 유닛의 I/O-포트(들)에서 포드들의 교환을 요구한다면, 적재기 시스템은 특히 편리할 수 있다. 도 3의 예시적인 실시예에서, 두 개의 처리 유닛들(100-1, 100-2)은 모듈식 시스템(1)을 형성하도록 상호 연결되며, 처리 유닛(100-1)에는 포드들(114)을 위한 적재기 시스템(170)이 제공된다. 적재기 시스템(170)은 처리 유닛(100-1)의 기판 전달 모듈(102)의 전방에 위치될 수 있으며, 외부 영역으로 그리고 외부 영역으로부터 포드들(114)을 출력하고 수용하기 위한 적어도 하나의 적재기 I/O-포트(172)를 포함할 수 있다. 이를 위해, 적재기 I/O-포트(172)는 적재기 I/O-포트(172)로부터 포드들(114)을 방출하고 적재기 I/O-포트(172)로 포드들(114)을 공급하는 자동의 오버헤드 레일 시스템(178)과 결부되도록 구성될 수 있으며, 다른 처리 시스템들(1, 1') 사이에 포드들(114)의 운송을 위해 효율적으로 제공될 수 있다. 적재기 시스템(174)은 또한 포드들(114)을 저장하기 위해, 예를 들어 케이스(case) 또는 하나 이상의 선반들(shelves)에 의해 제공되는, 많은 적재 수용부들(stocking accommodations; 174)을 정의할 수 있다. 도시된 실시예에서, 적재기 시스템(170)은 각각 포드(114)가 지지될 수 있는 일련의 수직으로 이격된 선반들의 형태로 되는, 두 개의 적재 수용부들(174)을 포함한다. 적재기 시스템(170)은 적재기 I/O-포트(172), 포드 적재 수용부들(174) 및 추가된 처리 유닛(100-1)의 기판 전달 모듈(102)의 I/O-포트(들)(110) 사이에 포드들(114)을 전달하도록 구성된 포드 전달 로봇(pod transfer robot; 176)을 더 포함할 수 있다. 일 실시예에서, 포드 전달 로봇(176)은 두 개의 수직 가이드 부재들(vertical guide members; 176a)을 포함할 수 있으며 수직으로 향해진 가이드 레일(guide rail; 176b)은 모터로 상하 구동할 수 있다. 가이드 레일(176) 위로 이동 가능하게 장착될 수 있는, 포드 조종기(pod manipulator; 176c)는 포드들(114)을 들어올릴 수 있고, 그것들을 전방으로 및 수평의 가이드 레일(176b)을 가로질러 후방으로, 및 그것을 따라 측면으로 이동할 수 있다.
본 발명의 도시된 실시예들이 부수하는 도면들을 참조하여 일부, 위에 설명됨에도 불구하고, 본 발명이 이 실시예들에 한정되지 않음을 이해될 것이다. 나타내진 실시예들에 대한 변형들은 도면들, 공보, 및 첨부된 청구항들의 연구로부터, 청구된 발명을 실시하는 당업자들에 의해 이해되고 달성될 수 있다.
예를 들어, 도 1 및 2에 도시된 실시예들에서, 처리 유닛들(100)의 반응기 모듈들(104)은 동시에 다양한 기판들을 처리하기 위해 하나의 수직 배치로(142)를 포함한다. 그러나, 다른 실시예들에서, 처리 유닛(100)의 반응기 모듈(104)은 하나의 기판을 동시에 처리하기 위해 구성된 단일-웨이퍼-반응기를 포함할 수 있다. 그러한 실시예들에서, 기판 핸들링 로봇(122)은 반응기의 반응 튜브(142a) 안으로 상승될 필요가 있는 웨이퍼 보트(144) 내에 기판(116)을 위치시킬 수 없으며, 대신에 단일-웨이퍼-반응기 내에 직접 위치될 수 있다. 게다가, 도 3에 의해 도시된 바와 같이, 처리 유닛(100)은 다양한 반응기들(142) 및 임의로, 클러스터(cluster) 도구의 다른 반응기들(142) 사이에 기판들을 전달하도록 구성된 관절식 암을 가지는 기판 핸들링 로봇(122) 같은 전용의 기판 분배 시스템을 포함하는 클러스터 도구의 형태로, 하나의 반응기(142)보다 더 수용하는 반응기 모듈(104)을 위해 제공할 수 있다. 그러한 실시예에서, 기판 전달 모듈(102)의 기판 전달 챔버(120) 내에 기판 핸들링 로봇(122)은 예를 들어 로드 잠금 챔버(load lock chamber) 같은 반응기 모듈(104)의 전달 위치(162)에 기판(116)을 위치시킬 수 있고, 기판은 반응기(142)로 더 전달되며 전용의 기판 분배 시스템에 의해 반대의 경우도 마찬가지이고, 진공 상태들에서 작동될 수 있다.
위에서, 본 발명은 300㎜ 웨이퍼들의 처리를 위한 시스템을 참조하여 설명되었다. 그러나 당업자들은 본 발명이 200㎜ 또는 450㎜ 직경의 원형 기판들 같은 다른 크기들 및 치수들의 기판들 또는 직사각형 또는 다른 편평한 형상을 구비하는 기판들을 처리하기 위한 시스템들에 동등하게 적용 가능하다는 것을 인정할 것이다. 또한 나타내진 시스템(1)은 실리콘 기판들을 처리하는 데 한정되지 않고, 원칙적으로 유리, 사파이어, 탄화규소, 또는 화합물 반도체 물질들 같은 적합한 물질로 된 기판들이 처리될 수 있다는 것을 알 수 있다.
본 명세서 도처에 “일 실시예” 또는 “하나의 실시예”에 대한 언급은 실시예와 관련되어 설명된 특별한 특징, 구조 또는 특성이 본 발명의 적어도 일 실시예 안에 포함된다는 것을 의미한다. 따라서, 본 명세서의 도처에 다양한 위치들에서 “일 실시예에서” 또는 “하나의 실시예에서”문구들의 출현들은 반드시 모두 같은 실시예를 언급하는 것이 아니다. 게다가, 하나 이상의 실시예들의 특별한 특징들, 구조들 또는 특성들은 새로운, 또는 명시적으로 설명되지 않은 실시예들을 형성하도록 적절한 방식으로 결합될 수 있다.
1: 모듈식 반도체 처리 시스템
2: 공통의 기판 전달 챔버
100: 처리 유닛
102: 설비 전방 단부 모듈(EFEM)
104: 반응기 모듈
106: 유지 공간
110: 기판 카세트 I/O-포트
112: I/O-포트 도어
114: 포드 또는 기판 카세트
116: 기판
120: 기판 전달 챔버
120a: 기판 전달 챔버의 전방 벽
120b: 기판 전달 챔버의 측벽
120c: 기판 전달 챔버의 후방 벽
122: 기판 핸들링 로봇
122a: 단부-작동체
122b: 관절식 암
122c: 기판 핸들링 로봇의 중추
126: 측면 입구
126a: 기판 전달 통로
130: 기판 핸드-오프 구역
132: 수직으로 연장하는 부재
134: 기판 지지부
140: 반응기 캐비닛
142: 반응기
142a: 반응 튜브
142b: 반응 튜브를 위한 수평으로 이동 가능한 셔터
142c: 웨이퍼 보트 리프트
144: 웨이퍼 보트
146: 유지 공간으로 이동될 때 반응기 위치
150: 동력 캐비닛
152: 주제어 유닛
160: 가스 캐비닛
162: 기판 전달 위치/ 로드 잠금 챔버
170: 포드들을 위한 적재기 시스템
172: 적재기 I/O-포트
174: 포드 수용부
176: 포드 전달 로봇
176a: 수직 가이드 부재
176b: 수평으로 향해진 가이드 레일
176c: 포드 조종기
178: 포드들의 운송을 위한 오버헤드 레일 시스템

Claims (20)

  1. 다수의 독립적으로 작동 가능한 기판 처리 유닛들(100)을 포함하고,
    각각의 유닛은,
    상기 유닛의 후방 측에, 적어도 하나의 기판(116)을 처리하기 위한 반응기(142)를 포함하는 반응기 모듈(104); 및
    상기 유닛의 전방 측에 기판 전달 모듈(102);
    을 포함하고,
    상기 기판 전달 모듈(102)은,
    적어도 하나의 전방 벽(120a) 및 두 개의 측벽들(120b)에 의해 정의되는 기판 전달 챔버(120);
    적어도 하나의 기판 카세트(114)를 수용하기 위한 상기 기판 전달 챔버(120)의 상기 전방 벽 내에 제공되는 기판 카세트 I/O-포트(110);
    상기 기판 전달 챔버(120) 안에 배치되어 상기 반응기 모듈(104) 및 상기 I/O-포트에서 수용되는 기판 카세트(114) 사이에 기판들을 전달 가능하게 하는 기판 핸들링 로봇(122);
    상기 기판 전달 챔버(120)의 적어도 하나의 상기 측벽들(120b)에 제공되고, 개별적인 처리 유닛들을 상호 연결하기 위해 및 기판 전달 챔버들(120) 사이에 임의로 폐쇄 가능한 기판 전달 통로(126a)를 정의하기 위해 다른 처리 유닛(100)의 결합 제공부와 상조하도록 구성되는 결합 제공부(126); 및
    적어도 하나의 기판(116)을 일시적으로 유지하도록 구성되는 적어도 하나의 기판 핸드-오프 구역(130), 상기 적어도 하나의 기판 핸드-오프 구역(130)은 한 쌍의 이웃하고, 상호 연결되는 처리 유닛들과 연관되고 상기 기판 전달 경로 및/또는 상기 개별적인 한 쌍의 이웃하는 처리 유닛들의 기판 전달 챔버들(120) 중 하나 안에 적어도 부분적으로 배치됨;
    을 포함하고,
    상기 처리 유닛들(100)은 상기 결합 제공부들을 거쳐 연속적으로 상호 연결되며;
    상기 한 쌍의 이웃하는 처리 유닛들(100)의 상기 기판 핸들링 로봇들(122)은 상기 기판 핸드-오프 구역을 거쳐 기판들을 교환하도록 연관된 기판 핸드-오프 구역(130)에 접근하도록 구성되는, 모듈식 반도체 기판 처리 시스템(1).
  2. 제1항에 있어서,
    상기 적어도 하나의 기판 핸들링 로봇(122)은 말단부에 단부-작동체(122a)가 제공되는 관절식 암(122b)을 포함하며, 상기 로봇(122)은 기판들을 들어올리고 I/O-포트 (110)에 도킹된(docked) 기판 카세트(114), 기판 핸드-오프 구역(130) 및 반응기 모듈(104) 모두로부터 또는 모두로, 각각, 기판들을 들어올리고 전달할 수 있는 모듈식 반도체 기판 처리 시스템(1).
  3. 제2항에 있어서,
    상기 적어도 하나의 기판 핸들링 로봇(122)은 SCARA-유형인 모듈식 반도체 기판 처리 시스템(1).
  4. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 기판 핸드-오프 구역(130)은 다수의 기판들(116)을 유지하도록 구성되는 모듈식 반도체 기판 처리 시스템(1).
  5. 제4항에 있어서,
    상기 적어도 하나의 기판 핸드-오프 구역(130)은 다수의 이격된 기판 유지 위치들을 정의하는 수동적인 랙이며, 각각의 위치들은 기판(116)의 제거 및 삽입을 위해 실질적으로 반대 측들로부터 접근 가능한 모듈식 반도체 기판 처리 시스템(1).
  6. 제5항에 있어서,
    상기 수동적인 랙은 적어도 두 개의 실질적으로 수직으로 연장하는 부재들(132)을 포함하며, 상기 부재들에는, 부재들의 높이들을 따라 이격된 위치들에서 지지부들(134)들이 제공되며, 상기 지지부들은 각각 실질적으로 수평으로 향해진 기판(116)을 유지하기 위해, 다른 수직 높이들에서 다수의 기판 유지 위치들을 정의하는 모듈식 반도체 기판 처리 시스템(1).
  7. 제1항 내지 제6항 중 어느 한 항에 있어서,
    적어도 하나의 처리 유닛(100)의 상기 결합 제공부는 상기 처리 유닛의 상기 기판 전달 챔버(120)의 측벽(120b)에 제공되는 게이트(126)이며, 상기 게이트는 기판들이 지나갈 수 있게 하는 기판 전달 통로(126a)를 정의하는 모듈식 반도체 기판 처리 시스템(1).
  8. 제7항에 있어서,
    상기 게이트(126)는 상기 기판 전달 통로(126a)를 막는 폐쇄된 상태, 및 상기 기판 전달 통로(126a)가 기판들(126)의 전달을 가능하게 하는 개방 상태 사이에서 배치 가능한 모듈식 반도체 기판 처리 시스템(1).
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 시스템(1)의 상기 기판 핸들링 로봇들(122)의 작동을 제어하도록, 그리고 기판 카세트 I/O-포트(110)에 도킹된 기판 카세트(114)로부터 상기 시스템(1)에 들어가는 기판(116)에 처리 경로(170a, 170b)를 할당하도록 구성되는 적어도 하나의 제어 유닛(152)을 더 포함하는 모듈식 반도체 기판 처리 시스템(1).
  10. 제9항에 있어서,
    적어도 하나의 처리 경로(170a, 170b)의 일부는 제 1 처리 유닛(100)의 반응기(142)에서 기판 핸드-오프 구역(130)으로, 그리고 상기 기판 핸드-오프 구역(130)에서 제 2 처리 유닛(100)의 반응기(142)로 연장하는 모듈식 반도체 기판 처리 시스템(1).
  11. 제1항 내지 제10항 중 어느 한 항에 있어서,
    상기 적어도 하나의 처리 유닛(100), 또는 적어도 하나의 처리 유닛(100)의 반응기 모듈(104)은 일반적으로 L-형의 공간을 구비하는 모듈식 반도체 기판 처리 시스템(1).
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 적어도 하나의 기판 카세트 I/O-포트(110)는 전방 개방형 유니파이드 포드(FOUP) 로드 포트인 모듈식 반도체 기판 처리 시스템(1).
  13. 제1항 내지 제12항 중 어느 한 항에 있어서,
    상기 적어도 하나의 처리 유닛(100)의 반응기(142)는 수직 배치로인 모듈식 반도체 기판 처리 시스템(1).
  14. 제1항 내지 제13항 중 어느 한 항에 있어서,
    상기 적어도 하나의 처리 유닛(100)의 반응기(142)는 단일의 웨이퍼 반응기인 모듈식 반도체 기판 처리 시스템(1).
  15. 제1항 내지 제14항 중 어느 한 항에 있어서,
    상기 적어도 하나의 처리 유닛(100)의 반응기 모듈(104)은 적어도 두 개의 반응기들(142)을 포함하는 모듈식 반도체 기판 처리 시스템(1).
  16. 제15항에 있어서,
    상기 처리 유닛(100)의 반응기 모듈(104)은 적어도 두 개의 반응기들(142) 및 상기 적어도 두 개의 반응기들(142) 사이에 기판들을 분배하도록 구성된 기판 분배 시스템(122)을 포함하는 모듈식 반도체 기판 처리 시스템(1).
  17. 제1항 내지 제16항 중 어느 한 항에 있어서,
    상기 기판 전달 모듈(102)은 설비 전방 단부 모듈(EFEM)인 모듈식 반도체 기판 처리 시스템(1).
  18. 제1항 내지 제7항 중 어느 한 항에 있어서,
    상기 적어도 하나의 처리 유닛(100)은 포드 적재 시스템(pod stocking system; 170)을 포함하며, 상기 포드 적재 시스템(170)은 외부 영역으로 그리고 외부 영역으로부터 포드들(114)을 출력하고 수용하기 위한 적어도 하나의 적재기 I/O-포트(172), 다수의 포드 적재 수용부들(174) 및 상기 적어도 하나의 처리 유닛(100)의 상기 기판 전달 챔버(102)의 기판 카세트 I/O 포트(110), 포드 적재 수용부들(174) 및 적재기 I/O-포트(172) 사이에 포드(114)를 전달하기 위한 포드 전달 로봇(176)을 포함하는 모듈식 반도체 기판 처리 시스템(1).
  19. 제1항 내지 제18항 중 어느 한 항에 따른 모듈식 반도체 기판 처리 시스템(1) 안으로 통합 가능하도록 구성되고,
    유닛의 후방 측에, 적어도 하나의 기판(116)을 처리하기 위한 반응기(142)를 포함하는 반응기 모듈(104); 및
    상기 유닛의 전방 측에 기판 전달 모듈(102);
    을 포함하고,
    상기 기판 전달 모듈(102)은,
    적어도 하나의 전방 벽(120a) 및 두 개의 측벽들(120b)에 의해 정의되는 기판 전달 챔버(120);
    적어도 하나의 기판 카세트(114)를 수용하기 위해 상기 기판 전달 챔버(120)의 상기 전방 벽 내에 제공되는 기판 카세트 I/O-포트(110);
    상기 기판 전달 챔버(120) 안에 배치되고 상기 반응기 모듈(104) 및 상기 I/O-포트에 수용된 기판 카세트(114) 사이에 기판들을 전달 가능하게 하는 기판 핸들링 로봇(122);
    상기 기판 전달 챔버(120)의 적어도 하나의 측벽들(120b)에 제공되고, 개별적인 처리 유닛들을 상호 연결하기 위해 및 기판 전달 챔버들(120) 사이에 임의로 폐쇄 가능한 기판 전달 통로(126a)를 정의하기 위해 다른 처리 유닛(100)의 결합 제공부와 상조하도록 구성되는 결합 제공부(126); 및
    적어도 하나의 기판 핸드-오프 구역(130), 상기 기판 전달 챔버(120) 내에, 상기 기판 핸들링 로봇(122) 및 상기 결합 제공부(126) 사이에 측면으로 배치되고, 적어도 하나의 기판(116)을 일시적으로 유지하도록 구성됨;
    을 포함하는 반도체 기판 처리 유닛(100).
  20. 제1항 내지 제18항에 따른 모듈식 반도체 처리 시스템(1)을 제공하는 단계;
    상기 시스템(1)의 처리 유닛(100)의 기판 카세트 I/O-포트(110)에 적어도 하나의 기판(116)을 유지하는 기판 카세트(110)를 도킹하는 단계; 및
    도킹된 기판 카세트(114)에서 제 1 처리 유닛(100)의 반응기(142)로, 상기 제 1 처리 유닛의 상기 반응기에서 기판 핸드-오프 구역(130)으로, 및 상기 기판 핸드-오프 구역에서 제 2 처리 유닛의 반응기로, 연속적으로 연장하는 처리 경로를 따라 상기 적어도 하나의 기판(116)을 전달하는 단계;
    를 포함하는 방법.
KR1020120104952A 2011-09-29 2012-09-21 모듈식 반도체 처리 시스템 KR101764444B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/248,468 US9048271B2 (en) 2011-09-29 2011-09-29 Modular semiconductor processing system
US13/248,468 2011-09-29

Publications (2)

Publication Number Publication Date
KR20130035198A true KR20130035198A (ko) 2013-04-08
KR101764444B1 KR101764444B1 (ko) 2017-08-02

Family

ID=47993334

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120104952A KR101764444B1 (ko) 2011-09-29 2012-09-21 모듈식 반도체 처리 시스템

Country Status (3)

Country Link
US (1) US9048271B2 (ko)
JP (1) JP6306813B2 (ko)
KR (1) KR101764444B1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160045610A (ko) * 2014-10-17 2016-04-27 램 리써치 코포레이션 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5617708B2 (ja) * 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
JP6219402B2 (ja) * 2012-12-03 2017-10-25 エーエスエム イーペー ホールディング ベー.フェー. モジュール式縦型炉処理システム
US10515834B2 (en) 2015-10-12 2019-12-24 Lam Research Corporation Multi-station tool with wafer transfer microclimate systems
US10566216B2 (en) 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
US10651066B2 (en) * 2017-11-24 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Metrology method in wafer transportation
US11049740B1 (en) 2019-12-05 2021-06-29 Applied Materials, Inc. Reconfigurable mainframe with replaceable interface plate

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06105742B2 (ja) * 1983-11-28 1994-12-21 株式会社日立製作所 真空処理方法及び装置
US4824209A (en) 1988-03-30 1989-04-25 Albany International Corporation Light source assembly
KR0153250B1 (ko) 1990-06-28 1998-12-01 카자마 겐쥬 종형 열처리 장치
US5387265A (en) 1991-10-29 1995-02-07 Kokusai Electric Co., Ltd. Semiconductor wafer reaction furnace with wafer transfer means
NL9200446A (nl) 1992-03-10 1993-10-01 Tempress B V Inrichting voor het behandelen van microschakeling-schijven (wafers).
JP3258748B2 (ja) 1993-02-08 2002-02-18 東京エレクトロン株式会社 熱処理装置
JP3453223B2 (ja) * 1994-08-19 2003-10-06 東京エレクトロン株式会社 処理装置
JP3965343B2 (ja) * 1994-08-19 2007-08-29 東京エレクトロン株式会社 処理装置
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5820366A (en) 1996-07-10 1998-10-13 Eaton Corporation Dual vertical thermal processing furnace
NL1008143C2 (nl) 1998-01-27 1999-07-28 Asm Int Stelsel voor het behandelen van wafers.
JP2001023872A (ja) * 1999-07-09 2001-01-26 Hitachi Ltd 半導体基板処理装置
JP2001351963A (ja) * 2000-06-09 2001-12-21 Hitachi Kokusai Electric Inc 基板処理装置
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
JP2002246439A (ja) * 2001-02-20 2002-08-30 Tokyo Electron Ltd 被処理体の搬出入装置と処理システム
JP2003077974A (ja) * 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2003142360A (ja) * 2001-11-02 2003-05-16 Daikin Ind Ltd 半導体装置の製造装置
US6732006B2 (en) * 2002-02-06 2004-05-04 Asm International Nv Method and system to process semiconductor wafers
US7351291B2 (en) * 2002-02-20 2008-04-01 Tokyo Electron Limited Semiconductor processing system
US6934606B1 (en) * 2003-06-20 2005-08-23 Novellus Systems, Inc. Automatic calibration of a wafer-handling robot
SG132670A1 (en) * 2003-11-10 2007-06-28 Blueshift Technologies Inc Methods and systems for handling workpieces in a vacuum-based semiconductor handling system
JP4376072B2 (ja) 2004-01-16 2009-12-02 東京エレクトロン株式会社 基板処理装置及び基板処理方法
JP4385954B2 (ja) * 2005-01-25 2009-12-16 株式会社Ihi ワーク搬送システムおよびワーク搬送装置
JP2006278619A (ja) * 2005-03-29 2006-10-12 Hitachi Kokusai Electric Inc 半導体製造装置
GB0514561D0 (en) 2005-07-15 2005-08-24 Johnson Sara Envelope making device
US7591624B2 (en) * 2006-01-09 2009-09-22 International Business Machines Corporation Reticle storage pod (RSP) transport system utilizing FOUP adapter plate
WO2007150009A1 (en) 2006-06-23 2007-12-27 Gsi Group Corporation System and method for semiconductor wafer processing
US7833351B2 (en) * 2006-06-26 2010-11-16 Applied Materials, Inc. Batch processing platform for ALD and CVD
US7690881B2 (en) * 2006-08-30 2010-04-06 Asm Japan K.K. Substrate-processing apparatus with buffer mechanism and substrate-transferring apparatus
US7740437B2 (en) * 2006-09-22 2010-06-22 Asm International N.V. Processing system with increased cassette storage capacity
US20080210168A1 (en) 2007-01-18 2008-09-04 May Su Single chamber, multiple tube high efficiency vertical furnace system
JP5511273B2 (ja) 2008-09-12 2014-06-04 株式会社日立国際電気 基板処理装置及び基板処理方法
JP5736687B2 (ja) 2009-10-06 2015-06-17 東京エレクトロン株式会社 基板処理装置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160045610A (ko) * 2014-10-17 2016-04-27 램 리써치 코포레이션 웨이퍼들을 이송하기 위한 장비 프런트 엔드 모듈 및 웨이퍼들을 이송하는 방법

Also Published As

Publication number Publication date
US9048271B2 (en) 2015-06-02
KR101764444B1 (ko) 2017-08-02
JP2013077819A (ja) 2013-04-25
US20130085593A1 (en) 2013-04-04
JP6306813B2 (ja) 2018-04-04

Similar Documents

Publication Publication Date Title
JP7305857B2 (ja) インデックス可能な側方収容ポッド装置、加熱側方収容ポッド装置、システム、及び方法
US11352220B2 (en) Semiconductor wafer handling and transport
KR101764444B1 (ko) 모듈식 반도체 처리 시스템
JP6006643B2 (ja) 真空処理装置
TWI725303B (zh) 基材處理設備
US8197177B2 (en) Semiconductor wafer handling and transport
KR20100095371A (ko) 기판 처리 장치
JP6747136B2 (ja) 基板処理装置
US10319621B2 (en) Semiconductor processing assembly and facility
WO2007101228A2 (en) Semiconductor wafer handling and transport
CN113782466A (zh) 高吞吐量、多室衬底处理系统
JP2013077819A5 (ko)
JP2024023180A (ja) ファクトリインターフェース設置面積スペース中にロードロックを組み込むためのシステムおよび方法
JP2018098387A (ja) 基板処理装置
JP2018093087A (ja) 基板処理装置
US9962840B2 (en) Substrate conveyance apparatus
JP2014060338A (ja) 基板処理装置
TW202341320A (zh) 用於混合式基板接合系統的foup或卡匣儲存器
KR20240051070A (ko) 중복성을 갖는 팩토리 인터페이스
TW202323171A (zh) 可與整合裝載閘一起使用的工廠介面機器人
WO2017056710A1 (ja) 基板処理システム

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant