KR20130004905A - 술폰아미드 함유 포토레지스트 조성물 및 사용 방법 - Google Patents

술폰아미드 함유 포토레지스트 조성물 및 사용 방법 Download PDF

Info

Publication number
KR20130004905A
KR20130004905A KR1020127023388A KR20127023388A KR20130004905A KR 20130004905 A KR20130004905 A KR 20130004905A KR 1020127023388 A KR1020127023388 A KR 1020127023388A KR 20127023388 A KR20127023388 A KR 20127023388A KR 20130004905 A KR20130004905 A KR 20130004905A
Authority
KR
South Korea
Prior art keywords
positive
photoresist
composition
tone
group
Prior art date
Application number
KR1020127023388A
Other languages
English (en)
Other versions
KR101454981B1 (ko
Inventor
다니엘 폴 샌더스
마사키 후지와라
요시하루 테루이
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
샌트랄 글래스 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션, 샌트랄 글래스 컴퍼니 리미티드 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20130004905A publication Critical patent/KR20130004905A/ko
Application granted granted Critical
Publication of KR101454981B1 publication Critical patent/KR101454981B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0045Photosensitive materials with organic non-macromolecular light-sensitive compounds not otherwise provided for, e.g. dissolution inhibitors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

높은 해상도(high resolution)와 낮은 블러 이미징(low blur imaging)을 위해 향상된 특성들을 갖는 리소그래피 공정들에서 사용하기 위한 술폰아미드 함유 포토레지스트 조성물들이 제공된다. 또한 레지스트-온-레지스트 응용(resist-on-resist applications)를 위한 알코올 용해성 포토레지스트들이 제공된다. 본 발명의 술폰아미드 함유 포토레지스트 조성물들은 화학식 (Ⅰ)에 도시된 바와 같이 가지형 연결기를 구비한 술폰아미드-치환된 반복 유닛들을 갖는 포지티브-톤 포토레지스트 조성물들을 포함한다.
Figure pct00025

Description

술폰아미드 함유 포토레지스트 조성물 및 사용 방법{SULFONAMIDE-CONTAINING PHOTORESIST COMPOSITIONS AND METHODS OF USE}
본 발명은 일반적으로 포토레지스트 조성물들에 관한 것이다. 본 발명은 더 구체적으로는 높은 해상도(high resolution)와 낮은 블러 이미징(low blur imaging)을 위해 향상된 특성들을 갖는 술폰아미드-함유 포지티브-톤 포토레지스트 조성물들과 이중 패터닝 응용(double patterning applications)을 위한 알코올-용해성 포지티브-톤(positive-tone) 포토레지스트들에 관한 것이다.
플루오로알코올(fluoroalcohols)을 함유한, 구체적으로 1,1,1,3,3,3-헥사플루오로이소프로판-2-올-2-일 기들(1,1,1,3,3,3-hexafluoroisopropan-2-ol-2-yl groups) (소위 헥사플루오로알코올 (HFAs)) 또는 술폰아미드 기들(sulfonamide groups)을 함유한 포토레지스트들이 193 nm 리소그래피를 위해서 보고되었다. HFA 또는 술폰아미드기들을 상기 포토레지스트 수지(photoresist resin)에 결합시키는 것은 리소그래피 패터닝 성능의 여러 측면을 향상시킬 수 있다. 특히 HFA- 및 술폰아미드-계 레지스트들은 카르복실산기들(carboxylic acid groups)과 같은 더 친수성인 산성기들(hydrophilic acidic groups)을 사용하는 재료들과 비교해서 부분적으로 노광된 영역들에서 (예를 들면, 라인 엣지들에서) 더 낮은 팽윤(swelling)을 보이는 것이 특징이다. HFA-계 레지스트들의 예들에 대해서는 이토(Ito) 외, Polym. Adv. Technol. 17: 104 (2006)와 바라나시(Varanasi) 외, J. Photopolymer Sci. Technol. 18:381 (2005)를 참조한다. 술폰아미드-계 레지스트들의 예들에 대해서는 바라나시 외, J. Photopolymer Sci. Technol. 20:481 (2007)과 리(Li) 외, Proc. SPIE 6519:65190F-1 (2007)을 참조한다. 단층(single-layer) 포토레지스트들에서의 응용(applications) 이외에, 이전에 패턴된 포토레지스트에 하부 패턴을 용해시키기 않고 스핀 캐스팅(spin casting)될 수 있는 알코올-용해성 포토레지스트들에도 관심이 커지고 있다. 이들 응용을 위해서, 포토레지스트 중합체에서 HFA 또는 술폰아미드-계 단량체의 양은 최대 50% 또는 그 이상의 수준에 도달할 수 있다. 그러한 응용에서, EATf-MA (예를 들면, 도 1의 폴리(EATF-MA) 참조)의 낮은 유리 전이 온도(glass transition temperature), 높은 알칼리 용해 속도(high alkali dissolution rate), 및 낮은 내식각성(low etch resistance)은 고해상 포토레지스트들에서 응용에 제한이 된다. 이와는 달리, 환식 연결기(cyclic linking group)를 갖는 AMNB-Tf-MA 계의 중합체들은 더 높은 내식각성, 더 높은 유리 전이 온도, 및 더 적정한 알칼리 용해 속도를 제공한다. 그러나 이 단량체는 합성하기에 더 복잡하고 이성질체(isomers)의 복합체(complex mixture)로 구성될 수 있다. 따라서, 높은 해상도, 낮은 블러 이미징을 위해 그리고 이중 패터닝 응용을 위한 알코올-용해성 포토레지스트들을 위해 향상된 특성들을 갖는 새로운 단량체들과 포토레지스트 재료들의 필요성이 여전히 존재한다.
본 발명은 술폰아미드기(sulfonamide group)와 가지형 연결기(branched linking group)를 갖는 반복 유닛들(repeat units)을 포함하는 술폰아미드-함유 포지티브-톤 포토레지스트 조성물들을 제공함으로써 이 기술분야에서 상기 필요성을 극복한다.
본 발명의 한 실시 예에서, 중합체, PAG, 및 용매를 포함하는 포지티브-톤 포토레지스트 조성물이 제공되고, 상기 중합체는 술폰아미드기와 가지형 연결기를 갖는 제1 반복 유닛과 산분해성 보호기(acid-labile protecting group)에 의해 보호되는 산성기(acidic group)를 포함하는 제2 반복 유닛을 포함한다.
또 다른 실시 예에서, 상기 가지형 술폰아미드-치환된 반복 유닛은 화학식 (Ⅰ)
Figure pct00001
,에 따른 구조를 가지며, 여기서 R1과 R2는 수소, 불소, 메틸, 및 트리플루오로메틸로 구성되는 그룹(group)으로부터 독립적으로 선택되고; R3은 수소, 할로겐, C1-C12 알킬, 및 플루오르화 C1-C12 알킬로 구성되는 그룹으로부터 선택되며; R7은 플루오르화 C1-C12 알킬이고; R4, R5, 및 R6 중 적어도 하나는 탄소를 포함한다.
또 다른 실시 예에서, R7은 트리플루오로메틸(trifluoromethyl)과 퍼플루오로부틸(perfluorobutyl)로부터 선택된다.
추가 실시 예에서, 상기 술폰아미드-치환된 반복 유닛은 화학식 (Ⅹ), (XI), (XII), 및 (Ⅵ) 중 하나이다.
Figure pct00002
또 하나의 실시 예에서, 상기 산분해성(acid-labile) 가지형 술폰아미드-치환된 반복 유닛은 화학식 (Ⅳ) 및 (Ⅶ)-(Ⅸ)로 구성되는 그룹으로부터 선택된다.
또 하나의 실시 예에서, 상기 산분해성 가지형 술폰아미드-치환된 반복 유닛은 화학식 (Ⅱ), (Ⅲ), (Ⅴ), 및 (ⅩⅢ)으로 구성되는 그룹으로부터 선택된다.
Figure pct00004
본 발명의 한 실시 예에서, R8, R10, R12, R14, R16, R18, R20, R22, R24, R26, R28, 및 R30은 수소, 불소, 메틸, 및 트리플루오로메틸로 구성되는 그룹으로부터 독립적으로 선택되고; R9, R11, R13, R15, R17, R19, R21, R23, R25, R27, R29, 및 R31은 독립 플루오르화 C1-C12 알킬(independently fluorinated C1-C12 alkyl)이다.
또 하나의 실시 예에서, R9, R11, R13, R15, R17, R19, R21, R23, R25, R27, R29, 및 R31은 트리플루오로메틸과 퍼플루오로부틸로부터 독립적으로 선택된다.
한 실시 예에서, 상기 제1 반복 유닛은 상기 중합체의 적어도 5 mol%를 구성한다.
또 하나의 실시 예에서, 상기 산성기(acidic group)는 카르복실산(carboxylic acids), 술폰아미드(sulfonamides), 페놀(phenols), 및 플루오로알코올(fluoroalcohols)로 구성되는 그룹으로부터 선택된다.
추가 실시 예에서, 상기 보호기(protecting group)는 3차 에스테르(tertiary esters), 오르쏘에스테르(orthoesters), 아세탈(acetals), 케탈(ketals), 카보네이트(carbonates), 실릴 에테르(silyl ether), 및 실릴 에스테르(silyl esters)로 구성되는 그룹으로부터 선택된다.
본 발명의 또 하나의 실시 예에서, 포지티브-톤 포토레지스트를 패터닝하는 방법이 제공되며, 상기 방법은 다음과 같은 단계들을 포함한다: (a) 본 발명의 포지티브-톤 포토레지스트의 한 층(a layer)을 기판에 도포하는 단계(applying); (b) 선택적으로, 상기 포지티브-톤 포토레지스트를 굽는 단계(baking); (c) 패턴에 따라(patternwise) 상기 포지티브-톤 포토레지스트를 노광시키는 단계(exposing); (d) 선택적으로, 상기 노광된 포지티브-톤 포토레지스트를 굽는 단계; 및 (e) 상기 포지티브-톤 포토레지스트의 부분들을 선택적으로 제거하도록 상기 포지티브-톤 포토레지스트를 현상하는 단계(developing).
본 발명의 추가 실시 예에서, 포지티브-톤 포토레지스트를 패터닝하는 방법이 제공되며, 상기 방법은 다음과 같은 단계들을 포함한다: (a) 본 발명의 포지티브-톤 포토레지스트의 한 층을 기판에 도포하는 단계; (b) 선택적으로, 상기 포지티브-톤 포토레지스트를 굽는 단계; (c) 상기 포지티브-톤 포토레지스트상에 탑코트(topcoat)를 형성하도록 탑코트 재료의 한 층을 도포하는 단계; (d) 선택적으로, 상기 탑코트를 굽는 단계; (e) 패턴에 따라 상기 포지티브-톤 포토레지스트를 노광시키는 단계; (f) 선택적으로, 상기 노광된 포지티브-톤 포토레지스트를 굽는 단계; 및 (g) 상기 탑코트 재료와 상기 포지티브-톤 포토레지스트의 부분들을 선택적으로 제거하도록 상기 포지티브-톤 포토레지스트를 현상하는 단계.
한 실시 예에서, 상기 기판은 패턴된 포토레지스트를 포함한다.
또 하나의 실시 예에서, 상기 패턴에 따른 노광(patternwise exposure)은 193 nm 물 액침 리소그래피(water immersion lithography)를 이용하여 수행된다.
추가 실시 예에서, 상기 포지티브-톤 포토레지스트는 포지티브-톤 이미지를 형성하기 위해 상기 포토레지스트의 노광된 부분들을 선택적으로 제거하도록 수성 알칼리 현상액(aqueous alkaline developer)을 사용하여 현상된다.
또 하나의 실시 예에서, 상기 포지티브-톤 포토레지스트는 포지티브-톤 이미지를 형성하기 위해 상기 포지티브-톤 포토레지스트의 노광된 부분들을 선택적으로 제거하도록 유기 용매(organic solvent)를 사용하여 현상된다.
추가 실시 예에서, 상기 포지티브-톤 포토레지스트는 네거티브-톤(negative-tone) 이미지를 형성하기 위해 상기 포지티브-톤 포토레지스트의 비노광된 부분들을 선택적으로 제거하도록 유기 용매를 사용하여 현상된다.
도 1은 기지의(known) 술폰아미드- 및 헥사플루오로알코올-계(hexafluoroalcohol-based) 메타크릴레이트 동종 단량체들의 정적 후진 접촉각 (static receding contact angle, SRCA), TMAH 용해 속도, 및 유리 전이 온도 (Tg)를 비교하여 도시한다.
도 2는 본 발명의 가지형 연결기들을 가진 술폰아미드-계 메타크릴레이트 동종 단량체들의 정적 후진 접촉각 (SRCA), TMAH 용해 속도, 및 유리 전이 온도 (Tg)를 비교하여 도시한다.
도 3은 2-메틸아다만틸 메타크릴레이트(2-methyladamantyl methacrylate)에 기초한 본 발명의 포토레지스트들의 이미징(imaging)을 도시하는 주사형 전자현미경 사진(scanning electron micrograph)들의 모음이다.
도 4는 1-에틸시클로펜틸 메타크릴레이트(1-ethylcyclopentyl methacrylate)에 기초한 본 발명의 포토레지스트들의 이미징을 도시하는 주사형 전자현미경 사진들의 모음이다.
도 5는 본 발명의 포토레지스트들 R8-R10을 사용하여 140 nm 반 피치(half-pitch) 라인 스페이스(line-space) 패턴들의 193 nm 리소그래피 이미징을 도시하는 주사형 전자현미경 사진들의 모음이다.
현재 청구된 발명의 바람직한 실시 예들이라고 생각되는 실시 예들의 설명이 아래에 제시된다. 기능, 목적, 또는 구조에 있어서 대안들 또는 수정안들도 이 출원의 청구항들의 범위에 들어간다. 이 명세서와 첨부되는 청구항들에서 사용될 때, “단수 형태”의 표현과 “상기”라는 표현은 맥락상 분명히 다르게 지시하지 않는 한 복수의 지시대상을 포함한다. “포함한다(comprise)” 및/또는 “포함하는(comprising)”이라는 말은, 이 명세서와 첨부되는 청구항들에서 사용될 때, 지정된 특징들(features), 단계들(steps), 구성요소들(elements), 재료들(materials), 및/또는 그룹들(groups)의 존재를 명시한다. 그러나 이들의 하나 또는 그 이상의 특징들, 단계들, 구성요소들, 재료들, 및/또는 그룹들의 존재 또는 추가를 배제하지 않는다.
“포지티브-톤 포토레지스트(들)”이라는 말은 여기에서는 비노광 상태(unexposed state)에서 수성 알칼리 현상액 (예를 들면, 0.26N 수성 수산화 테트라메틸암모늄 (TMAH))에서 불용해성이고 노광 후에 그리고 선택적 노광 후 굽기(optional post exposure bake) 후에 수성 알칼리 현상액에서 용해성으로 되는 포토레지스트 재료(들)로 정의된다.
여기에서 사용될 때, “가지형 연결기(branched linking group)”라는 말은 본 발명의 중합체 조성물들상에서 상기 술폰아미드기를 상기 메타크릴레이트기(methacrylate group)에 연결하는 비선형(non-linear) 및 비환식(non-cyclic) 연결기를 나타내는데 사용된다. “가지형 연결기”는 가지형 구조에 적어도 하나의 메틸기를 필수적으로 가질 것이다. 적어도 하나의 메틸기는 메틸, 에틸, n-프로필, 이소부틸, n-부틸, 이소부틸, t-부틸, 옥틸, 데실(decyl), 테트라데실, 헥사데실, 에이코실(eicosyl), 테트라코실, 및 그 유사물과 같은 모든 메틸-함유 기(methyl-containing group)를 포함할 수 있는 것으로 이해되어야 한다. 이와 달리, 적어도 하나의 메틸기는 트리플루오로메틸(trifluoromethyl), 트리플루오로에틸(trifluoroethyl), 퍼플루오로프로필(perfluoropropyl), 퍼플루오로부틸(perfluorobutyl), 또는 다른 비환식 플루오르화 알킬기들과 같은 기능화된 메틸기들(functionalized methyl groups)을 포함할 수 있다. 위에 도시된 화학식 (Ⅰ)을 참조하면, R4, R5, 및 R6은 가지형 연결기를 포함하고, R4, R5, 및 R6 중 적어도 하나는 탄소를 포함한다.
“플루오르화 C1-C12 알킬(fluorinated C1-C12 alkyl)”이라는 말이 여기에서 사용될 때는 트리플루오로메틸과 퍼플루오로부틸과 같은 퍼플루오르화 C1-C12 알킬(perfluorinated C1-C12 alkyl)을, 제한없이, 포함한다는 의미이다.
“선택적(optional)” 또는 “선택적으로(optionally)”라는 말이 여기에서 사용될 때는 발생할 수도 발생하지 않을 수도 있는 환경(circumstance)을 나타내는데 사용된다. 예를 들면, 여기에서 기술되는 리소그래피 방법들에서, “선택적으로, 상기 탑코트 중합체를 굽는 단계,” “선택적으로, 상기 노광된 포토레지스트를 굽는 단계,” 또는 “선택적으로, 상기 노광된 포지티브-톤 포토레지스트를 굽는 단계”라는 말은 상기 탑코트 중합체 및/또는 상기 포토레지스트가 기술된 방법 내에서 구워질 수도 구워지지 않을 수도 있다는 것을 의미한다.
“화학 증폭(chemical amplification)”이라는 말은 포토레지스트 기술분야에서 잘 알려져 있으며, 광생성 종(photogenerated species)에 의해 촉매작용이 일어날 때의 포토레지스트의 작용기들의 촉매 반응을 나타낸다. 화학 증폭의 기본원리와 이의 포토레지스트 화학특성에 대한 응용은 “마이크로 리소그래피를 위한 화학 증폭 레지스트(Chemical Amplification Resists for Microlithography)” Adv. Polym. Sci., vol. 172, pp. 37-245 (2005)에서 이토(Ito)에 의해서 검토되었다. 가장 흔한 화학적으로 증폭된 포토레지스트는 광산 발생제(photoacid generator: PAG)들을 이용하고, 가장 흔하게 채용되는 반응 메커니즘은 보호된 산 작용기들의 산-촉매화 탈보호(acid-catalyzed deprotection)이다.
“포지티브-톤 포토레지스트(positive-tone photoresist)”는 방사선에 노출될 때 알칼리 현상액에서 용해성이 더 올라가는 포토레지스트를 말한다. 포지티브-톤 포토레지스트는 노광되거나, 현상될 때 또는 현상 전후에 선택적으로 구워질 때 교차결합하지(crosslink) 않는다. 이와는 대조적으로, “네거티브-톤 포토레지스트”는 방사선에 노출될 때, 통상적으로 광 유도 가교 매커니즘(photo-induced crosslinking mechanism) 때문에, 알칼리 현상액에서 덜 용해되는(less soluble) 포토레지스트를 말한다.
“네거티브-톤 현상(negative-tone development)”이라는 말은 상기 포토레지스트 층의 비노광 영역들이 현상 동안에 제거된다는 것을 의미한다. “포지티브-톤 현상(positive-tone development)”이라는 말은 상기 포토레지스트 층의 노광 영역들이 현상 동안에 제거된다는 것을 의미한다.
일부 경우들(예를 들면, 밀도 높은 고해상 패턴들을 형성할 때)에 있어서 모든 포토레지스트 층이 방사선 노출(radiation exposure) 중 일정량(some dose)을 받을 수 있음을 이해해야 한다. “비노광 포토레지스트(non-exposed photoresist)”라는 말은 노광 전 포토레지스트(pre-exposed photoresist)와 비교해서 주어진 현상액에서, 선택적 굽기 전 또는 후에, 포토레지스트의 용해도를 전환하기에 충분하지 못한 방사선 노출량(insufficient dose)을 받은 포토레지스트 층의 부분을 말한다. 이와는 대조적으로, “노광된 포토레지스트”는 노광 전 포토레지스트와 비교해서 주어진 현상액에서, 선택적 굽기 전 또는 후에, 포토레지스트의 용해도를 전환하기에 충분한 방사선 노출량을 받은 포토레지스트 층이다.
본 발명은 기지의 술폰아미드 재료들에 비해서 증가된 소수성(hydrophobicity), 증가된 유리 전이 온도, 및 적당한 용해 속도를 갖는 가지형 연결기들 (도 2 참조)을 가진 술폰아미드-기능화된 아크릴 중합체들(sulfonamide-functionalized acrylic polymers)을 제공한다.
가지형 연결기들을 갖는 본 발명의 술폰아미드-계 메타크릴레이트 재료들은 폴리(EATf-MA), 폴리(1,4-CHTf-MA) 또는 폴리(AMNB-Tf-MA) 재료들보다 현저히 더 높은 정적 후진 접촉각 (SRCA) 값들을 보이며, 심지어는 HFA-계 폴리(MA-BTHB-OH)와 폴리(NBHFAMA) 재료들의 것보다 더 높은 값들을 보인다. 또한, 상기 가지형 연결기의 크기가 더 커짐에 따라 상기 유리 전이 온도들은 증가되고 상기 TMAH 용해 속도들은 감소한다. 특히, 상기 유리 전이 온도들은 통상적으로 폴리(EATf-MA)의 것보다 훨씬 증가된다. 주목할 것은, 이 재료들의 유리 전이 온도들은 그런 경우 낮은 활성에너지 보호기들을 가진 레지스트들을 위해 사용되는 공통 노광 후 굽기 (PEB)의 온도들(예를 들면, 90~100 ℃)보다 커질 수 있다는 것이다. 산 확산(acid diffusion)을 감소시키고 그럼으로써 이미지 블러(image blur)를 줄이고 해상도를 높이기 위해서 상기 포토레지스트의 유리 전이 온도 아래의 온도에서 PEB를 수행할 수 있는 능력이 필요하다. 바람직하게도, 이들 새로운 단량체들에 의해 만들어진 더 높은 유리 전이 온도들은 알코올-용해성 포토레지스트 재료들이 많은 양의 이들 술폰아미드-계 단량체들을 함유하고도 여전히 필요한 PEB 온도보다 더 높은 유리 전이 온도를 갖도록 설계될 수 있게 해주는데, 이는 EATF-MA의 낮은 Tg에서는 가능하지 않았다. 알코올-용해성 포토레지스트들은 하나의 포토레지스트가 기존 포토레지스트 패턴에, 그 패턴을 용해시키거나 다르게 왜곡시키지 않고, 도포되어야만 하는 레지스트-온-레지스트 이중 패터닝 스킴들(resist-on-resist double patterning schemes)에 바람직하다. 종래의 포토레지스트들은 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA)와 같은 캐스팅 용매들을 사용하는데, 이 용매들은 종래의 포토레지스트 재료들로 구성되는 패턴들을 용해하거나, 팽윤시키거나 왜곡시킬 수가 있다. 상기 술폰아미드-계 단량체의 극성(polarity)과 용해 속도는 포토레지스트 성능을 정밀하게 조절하기 위해 상기 가지형 연결기를 변경시킴으로써 변화될 수 있다.
한 실시 예에서, 본 발명은 중합체, PAG, 및 용매를 포함하는 포지티브-톤 포토레지스트 조성물을 포함하며, 상기 중합체는 술폰아미드기와 가지형 연결 구조를 갖는 제1 반복 유닛과 산 분해성 보호기에 의해 보호되는 산성기를 포함하는 제2 반복 유닛을 포함한다. 추가 실시 예에서, 상기 반복 유닛은 화학식 (Ⅵ) 및 (Ⅹ)-(ⅩⅡ) 중 하나에 따른 구조를 가진다. 또 하나의 실시 예에서, 상기 반복 유닛은 화학식 (Ⅳ) 및 (Ⅶ)-(Ⅸ)로 구성되는 그룹으로부터 선택된다. 추가 실시 예에서, 상기 반복 유닛은 화학식 (Ⅱ), (Ⅲ), (Ⅴ), 및 (ⅩⅢ)으로 구성되는 그룹으로부터 선택된다. 또 다른 실시 예에서, 상기 제1 반복 유닛은 상기 중합체의 적어도 5 mol%를 구성한다. 상기 반복 유닛은 상기 중합체의 5에서 70 mol%를 구성할 수 있고, 더 구체적으로는 상기 중합체의 10~50 mol%를 구성할 수 있으며, 가장 구체적으로는 상기 중합체의 15~40 mol%를 구성할 수 있다. 이 기술분야에서 통상의 지식을 가진 자가 이해하는 바와 같이, 상기 반복 유닛의 mol%는 본 발명의 조성물들을 조제하는데 사용되는 공단량체들(comonomers) (만일 있다면)의 유형에 좌우될 것이다(예5에서 예11까지 참조).
화학적으로 증폭된 포토레지스트들에서 적합한 산성 작용기들에는 카르복실산(carboxylic acids), 술폰아미드(sulfonamides), 페놀(phenols), 플루오로알코올(fluoroalcohols), 및 기타 산성기들이 포함되며, 이들은 알칼리 포토레지스트 현상액에 의해서 양성자가 제거될(deprotonated) 수 있고 또한 알칼리 현상액에서 용해성으로 될 수 있다. 예를 들면, 산-민감성(acid-sensitive) 에스테르는 광-방출된 산(photo-released acid)에 의해 촉매반응으로 카르복실산기들로 변환될 수 있다. 화학 증폭은 통상적으로 노광 후 굽기 동안에 발생하며, 이때 하나의 광-생성된(photo-generated) 산 분자가 노광된 영역들에서 많은 보호기들의 탈보호(deprotection)를 촉진한다. 이렇게 하여 얻어진 탈보호된 포토레지스트는 노광된(as-exposed) 포토레지스트 또는 노광되지 않은(non-exposed) 포토레지스트와 비교해서 알칼리 포토레지스트 현상액에서 증가된 용해도를 갖는다. 산성 작용기들을 위한 많은 수의 적합한 산 분해성 보호기들이 워츠(Wuts)와 그린(Greene)의, 유기 합성에서 그린의 보호기들(Greene's Protective Groups in Organic Synthesis), 4판(4th ed.) 존 윌리(John Wiley) & 선즈(Sons), (Hoboken, NJ, 2007)와; 및 고시엔스키(Kocienski)의, 보호기(Protecting Groups) 3판(3rd ed.) 게오르그 티메 베를라그(Georg Thieme Verlag) (Stuttgart, Germany, 2005)에 기술되어 있다. 구체적으로, 상기 보호기들에는 3차 에스테르, 오르쏘에스테르, 아세탈, 케탈, 카보네이트, 실릴 에테르, 및 실릴 에스테르가 포함된다.
보호된 산성기들을 보유하는 적합한 제2 반복 유닛들은 메타크릴레이트, α-(트리플루오로메틸)아크릴레이트, α-(플루오로)아크릴레이트, 및 아크릴레이트 단량체들을 포함한 공단량체들(comonomers)로부터 유도될 수 있으며, 이들 안에서 상기 산성기 (아크릴 구조 자체의 카르복실산 또는 그 단량체의 치환기상에서의 산성 작용기 둘 중 하나)는 산 분해성 보호기로 보호된다. 대체 공단량체들에는 환식 올레핀 (예들 들면, 노르본-계) 단량체, 비닐 에테르 단량체, 스티렌 단량체(styrenic monomers), 올레핀 및 디엔(diene) 단량체에 기초한 것들 (예를 들면, 하나 또는 그 이상의 비포화 알켄기들을 함유하는 것들)이 포함된다. 화학적으로 증폭된 248 nm, 193 nm, 157nm, EUV, 및 전자빔 포토레지스트들에 사용하는데 적합한, 산 분해성 보호기로 보호되는 산성기를 포함하는 반복 유닛의 많은 예들은 이토(Ito)의 “마이크로리소그래피를 위한 화학 증폭 레지스트(Chemical Amplification Resists for Microlithography)” Adv. Polym. Sci. 172:37-245 (2005)에서 찾을 수 있다.
상기 포토레지스트는 특히 3차 에스테르 모이어티(a tertiary ester moiety)를 포함하는 하나 또는 그 이상의 유닛들로부터 유도된 반복 유닛들을 갖는 중합체를 포함할 수 있다. 3차 에스테르 모이어티들의 예들은 미국 특허 4491628호 "Positive- and Negative-working Resist Compositions with Acid Generating Photoinitiator and Polymer with Acid Labile Groups Pendant from Polymer Backbone" (발명자: 이토 외)에 공개된 것들과 같은 광산 발생제 (PAG)로부터 발생된 산에 의해 탈보호될 수 있는 것들이 포함된다. 3차 에스테르 모이어티들의 비제한적 예들에는 구조 그룹(structural group)들의 에스테르 유도체들이 포함되며, 그 예들로는: 메틸아다만탄(methyladamantane), 에틸아다만탄, 메틸시클로펜탄, 에틸시클로펜탄, 메틸시클로헥산, 에틸시클로헥산, 메틸시클로헵탄, 에틸시클로헵탄, 메틸시클로옥탄, 및 에틸시클로옥탄이 포함된다. 다른 3차 에스테르들에는 트리틸 에스테르(trityl esters), 이소보닐 에스테르(isobornyl esters), 디시클로프로필메틸 에스테르, 디메틸시클로프로필메틸 에스테르, 및 t-부틸 에스테르가 포함된다.
반복 유닛들은 넓은 범위의 다른 산 분해성 기들을 포함할 수 있는데, 예를 들면, 페놀의 3차 카보네이트(tertiary carbonates of phenols), 벤질 에스테르(benzyl esters), 벤지히드릴 에스테르(benzyhydryl esters), 아세탈, 케탈, 트리메틸실릴 에스테르(trimethylsilyl ester)와 같은 트리알킬실릴 에스테르(trialkylsilyl esters), 테트라하이드로퓨라닐 에스테르(tetrahydrofuranyl esters), 테트라하이드로피라닐 에스테르(tetrahydropyranyl esters), 3-옥소시클로헥사노닐(3-oxocyclohexanonyl), 및 메발로닉 락토닐 에스테르(mevalonic lactonyl esters) 등이 포함된다.
필요하다면 또는 원한다면, 상기 포토레지스트 중합체는 또한 내식각성(etch resistance)을 높이고, 광학적 특성을 변경하고, 기판에 대한 접착력을 향상시키고, 콘트라스트(contrast)를 높이고, 팽윤(swelling)을 줄이고, 그리고 일반적으로 리소그래피 성능을 향상시키는 등의 목적을 위한 추가적인 반복 유닛들을 가질 수 있다. 248 nm, 193 nm, 157nm, EUV, 및 전자빔 리소그래피에서 사용하기 위해 화학적으로 증폭된 레지스트들에서 위와 같은 목적들에 적합한 반복 유닛들의 예는 이토(Ito)의 "마이크로리소그래피를 위한 화학 증폭 레지스트(Chemical Amplification Resists for Microlithography)" Adv. Polym. Sci.172:37-245 (2005)에서 찾을 수 있다.
상기 포토레지스트 중합체는 또한 락톤 모이어티(lactone moiety)를 포함하는 추가적인 반복 유닛들을 가질 수 있으며, 그렇게 함으로써 상기 포토레지스트에 양질의 리소그래피 성능을 가져다줄 수 있다. 필요할 때, 락톤 모이어티들은 수성 현상액에서 용해도를 향상시킬 수 있다. 락톤 모이어티들을 함유하는 반복 유닛들의 예들에는 5-메타크릴로일록시-2,6-노르보르난카보-감마-락톤(5-methacryloyloxy-2,6-norbornanecarbo-gamma-lactone), 3-메타크릴로릴옥시메틸-2,6-노르보르난카보락톤(3-methacryloyloxymethyl-2,6-norbornanecarbolactone), 3-아크릴로릴옥시메틸-2,6-노르보르난카보 락톤(3-acryloyloxymethyl-2,6-norbornanecarbo lactone), 알파-아크릴로릴옥시-감마-부티로락톤(alpha-acryloyloxy-gamma-butyrolactone), 알파-메타크릴로릴옥시-감마-부티로락톤(alpha-methacryloyloxy-gamma-butyrolactone), 베타-아크릴로릴옥시-감마-부티로락톤(beta-acryloyloxy-gamma-butyrolactone) 및 베타-메타크릴로릴옥시-감마-부티로 락톤(beta-methacryloyloxy-gamma-butyro lactone)이 포함된다.
상기 포토레지스트 중합체는 공중합체(copolymer), 삼원공중합체(terpolymer), 또는 사원공중합체(tetrapolymer)일 수 있다. 상기 포토레지스트는 또한 둘 또는 그 이상의 중합체들의 혼합체(blend)를 포함할 수 있다.
포지티브-톤 포토레지스트는 자외선 (예를 들면, i-선 또는 g-선), 심자외선(deep ultraviolet radiation) (예를 들면, 파장 < 260 nm), 극자외선(extreme ultraviolet radiation), 또는 전자빔 방사선(electron beam radiation)에 민감할 수 있다. 한 실시 예에서, 상기 포지티브-톤 포토레지스트 제제(formulation)는 단파장 자외선 (예를 들면, < 260 nm 파장)에 민감하거나 극 자외선 (EUV) 또는 전자빔 방사선에 민감한 화학 증폭 포토레지스트이다. 구체적으로, 상기 포토레지스트는 254 nm, 248 nm, 193 nm, 157 nm, 및 13.5 nm를 포함하는 파장들에 민감할 수 있다.
상기 포토레지스트 제제(photoresist formulation)에서 포지티브-톤 포토레지스트 중합체 또는 올리고머는 그 제제에 포함된 고형분(solids)의 중량으로 약 99 %까지 차지할 수 있고, 상기 광산 발생제는 상기 포토레지스트 제제에 함유된 고형분의 중량으로 약 0.1 %에서 중량으로 약 25 % 사이를 차지할 수 있다.
포지티브-톤 포토레지스트 제제에 사용되는 통상적인 중합체와 올리고머에는 아크릴레이트, 메타크릴레이트, 시클로올레핀 중합체, 시클로올레핀 말레산 무수물 공중합체(cycloolefin maleic anhydride copolymers), 시클로올레핀 비닐 에테르 공중합체(cycloolefin vinyl ether copolymers), 실록산(siloxanes), 실세스퀴옥산(silsesquioxanes), 및 카르보실란(carbosilanes)이 포함된다. 상기 올리고머에는 다면체 올리고머 실세스퀴옥산(polyhedral oligomeric silsesquioxanes), 탄수화물(carbohydrates), 및 기타 케이지 화합물(cage compounds)이 포함된다. 상기 중합체들 또는 올리고머들은, 필요에 따라, 수성 염기 용해성 기들(aqueous base soluble groups), 산-분해성 기들(acid-labile groups), 극성 작용기들(polar functionalities), 및 실리콘 함유 기들(silicon containing groups)로 적절하게 기능화된다(functionalized). 화학적으로 증폭된 포지티브-톤 포토레지스트들에 적합한 중합체와 공단량체의 예들은 H. 이토(Ito)의 “마이크로리소그래피를 위한 화학 증폭 레지스트(Chemical Amplification Resists for Microlithography),” Advances in Polymer Science 172:37-245 (2005)에 기술되어 있다.
본 발명의 포지티브-톤 포토레지스트 중합체 또는 올리고머는 음이온성(anionic), 프리-라디칼(free-radical) 중합, 및 제어된 라디칼 중합(controlled radical polymerization)을 포함한 기지의 기술에 의해 합성될 수 있다. 제어된 프리 라디칼 중합(controlled free radical polymerization)에는 원자 전이 라디칼 중합 (ATRP: atom transfer radical polymerization), 나이트록사이드 매개 중합 (NMP: nitroxide mediated polymerization), 가역적 부가 조각 사슬 이동 (RAFT: reversible addition fragmentation chain transfer) 중합, 그룹 전이 중합 (GTP: group transfer polymerization), 촉매 사슬 이동 (CCT: catalytic chain transfer) 중합, 및 기타 기지의 방법들이 포함된다. 본 발명의 포토레지스트 중합체 또는 올리고머는 선형, 가지형, 하이퍼가지형(hyperbranched), 수지상(dendritic), 환식(cyclic) 또는 기타 토폴로지들(topologies)을 가질 수 있다. 본 발명의 재료들은 여러 가지 공단량체들의 랜덤(random), 교번(alternating), 구배(gradient), 및 기타 통계적 분포들을 갖는 올리고머들 또는 중합체들을 포함할 수 있다. 또한, 본 발명의 포토레지스트 중합체들 또는 올리고머들은 블록, 다중블록, 그래프트(graft), 또는 기타 아키텍처들을 갖는 올리고머들 또는 중합체들을 포함할 수 있다. 상기 포토레지스트 중합체들 또는 올리고머들의 특성들은 또한 분자량(molecular weight), 분자량 분포(molecular weight distribution) (즉, 다분산성(polydispersity)), 및 말단기들(end groups)을 제어함으로써 조절될 수 있다(tailored). 분자량은 500 g/mol에서 250,000 g/mol 범위일 수 있고, 바람직하게는 1000 g/mol에서 100,000 g/mol 범위일 수 있고, 더 바람직하게는 2000 g/mol에서 500,000 g/mol 범위일 수 있으며, 훨씬 더 바람직하게는 5000 g/mol에서 30000 g/mol 범위일 수 있다. 중합체의 중량평균분자량(weight average molecular weight) 대 수량평균분자량(number average molecular weight)의 비 (즉, Mw/Mn)인 다분산지수 (PDI: polydispersity index)는 1에서 10 범위일 수 있고, 바람직하게는 1에서 2 범위일 수 있으며, 더 바람직하게는 1에서 1.5 범위일 수 있다.
말단기들은 개시종(initiating species)의 선택에 의해서 조작될 수 있다. 예를 들면, 적절한 아조(azo) 또는 과산화물(peroxide) 개시제(initiators)를 선택하면 프리 라디칼 중합에 의해 만들어진 중합체들의 말단기들 일부를 결정할 수 있다. 티올(thiols) 등의 사슬 이동제들(chain transfer agents)은 중합체들의 분자량을 낮추고 그 중합체 사슬들에 특정한 말단기들을 추가하기 위해 프리 라디칼 중합에 첨가될 수 있다. 이와 달리, 특정 RAFT 중합, ATRP, 또는 NMP 개시제 분자들이 사용될 수 있는데, 이들은 각 사슬의 초반에 특정한 말단기를 추가할 것이다. 또한, 이러한 제어된 라디칼 중합 기술들에 의해 형성된 중합체들은 사슬 말단에 활성기들(active groups)을 가지는데, 이는 중합체 말단기들로서 광범위한 기능들(functionalities)을 추가하기 위해(install) 치환될 수 있다.
리소그래피 성능을 향상시키기 위해 상기 포토레지스트 중합체들 또는 올리고머들을 조절하도록 이들 그리고 다른 방법들이 사용될 수 있다.
포지티브-톤 포토레지스트 제제는 통상적으로 또한 광산 발생제 (PAG)를 포함한다. 광산 발생제는, 방사선에 노출되면, 강산(strong acid)을 발생하고 상기 포토레지스트 제제의 다른 성분들과 융화 가능한(compatible) 모든 화합물(any compound)이 될 수 있다. 광화학적 산 발생제들 (PAGs)의 예로는 술폰산염(sulfonates), 오늄염(onium salts), 방향족 디아조늄염(aromatic diazonium salts), 술포늄염(sulfonium salts), 디아릴이오도늄염(diaryliodonium salts) 및 N-하이드록시아미드(N-hydroxyamides) 또는 N-하이드록시이미드(N-hydroxyimides)의 술폰산 에스테르(sulfonic acid esters)가 포함되나, 이에 한정되지는 않으며, 미국 특허번호 4371605 (발명자 Renner)에 공개되어 있다. 본 포토레지스트들에 혼합된(incorporated) PAG들은 높은 열안정성을 가져야 하며, 즉 적어도 140 ℃까지는 안정적이어서, 노광 전 처리(pre-exposure processing) 중에 열화되지 않아야 한다.
모든 적합한 광산 발생제는 본 발명의 포토레지스트 제제에 사용될 수 있다. 통상적인 광산 발생제에는 다음이 포함되며, 이에 한정되지 않는다:
(1) 술포늄염(sulfonium salts)으로서, 트리페닐술포늄 퍼플루오로메탄술폰산염 (트리페닐술포늄 트리플레이트), 트리페닐술포늄 퍼플루오로부탄술폰산염(triphenylsulfonium perfluorobutanesulfonate), 트리페닐술포늄 퍼플루오로펜탄술폰산염, 트리페닐술포늄 퍼플루오로옥탄술폰산염, 트리페닐술포늄 헥사플루오로안티몬산염, 트리페닐술포늄 헥사플루오로비산염, 트리페닐술포늄 헥사플루오로인산염, 브롬화 트리페닐술포늄, 염화 트리페닐술포늄, 요오드화 트리페닐술포늄, 2,4,6-트리메틸페닐디페닐술포늄 퍼플루오로부탄술폰산염, 2,4,6-트리메틸페닐디페닐술포늄 벤젠술폰산염, 트리스(t-부틸페닐)술포늄 퍼플루오로옥탄 술폰산염, 염화 디페닐에틸술포늄, 및 염화 페나실디메틸술포늄 등이 포함됨;
(2) 할로늄염(halonium salts), 구체적으로는 이오도늄 염(iodonium salts)으로서, 디페닐이오도늄 퍼플루오로메탄술폰산염 (디페닐이오도늄 트리플레이트), 디페닐이오도늄 퍼플루오로부탄술폰산염, 디페닐이오도늄 퍼플루오로펜탄술폰산염, 디페닐이오도늄 퍼플루오로옥탄술폰산염, 디페닐이오도늄 헥사플루오로안티몬산염, 디페닐이오도늄 헥사플루오로비산염, 비스-(t-부틸페닐)이오도늄 트리플레이트, 및 비스-(t-부틸페닐)-이오도늄 캄파닐술폰산염 등이 포함됨;
(3) α,α'-비스-술포닐-디아조메탄(α,α'-bis-sulfonyl-diazomethanes)으로서, 비스(p-톨루엔술포닐)디아조메탄, 메틸술포닐 p-톨루엔술포닐디아조메탄, 1-시클로헥실술포닐-1-(1,1-디메틸에틸술포닐) 디아조메탄, 및 비스(시클로헥실술포닐)디아조메탄 등이 포함됨;
(4) 이미드(imides)와 하이드록시이미드(hydroxyimides)의 트리플루오로메탄술폰산염 에스테르, 예를 들면, α-(트리플루오로메틸술포닐옥시)-바이시클로[2.2.1]헵-5-틴-2,3-디카르복스이미드 (MDT);
(5) 니트로벤질 술폰산염 에스테르(nitrobenzyl sulfonate esters)로서, 2-니트로벤질 p-톨루엔술폰산염, 2,6-디니트로벤질 p-톨루엔술폰산염, 및 2,4-디니트로벤질 p-트리플루오로메틸벤젠 술폰산염 등이 포함됨;
(6) 술포닐옥시나프탈이미드(sulfonyloxynaphthalimides)로서, N-캠퍼술포닐옥시나프탈이미드(N-camphorsulfonyloxynaphthalimide) 및 N-펜타플루오로페닐술포닐옥시나프탈이미드(N-pentafluorophenylsulfonyloxynaphthalimide) 등이 포함됨;
(7) 피로갈롤 유도체(pyrogallol derivatives) (예를 들면, 피로갈롤의 트리메실레이트(trimesylate));
(8) 나프토퀴논-4-디아지드(naphthoquinone-4-diazides);
(9) 알킬 디술폰(alkyl disulfones);
(10) s-트리아진 유도체(s-triazine derivatives), 미국 특허번호 4,189,323에 기술되어 있음; 및
(11) 기타 술폰산 발생제들(miscellaneous sulfonic acid generators)로서 t-부틸페닐-α-(p-톨루엔술포닐옥시)-아세테이트, t-부틸-α-(p-톨루엔술포닐옥시)아세테이트, 및 N-하이드록시-나프탈이미드 도데칸 술폰산염 (DDSN), 및 벤조인 토실레이트(benzoin tosylate)를 포함함.
다른 적합한 광산 발생제들은 Reichmanis 외, Chemistry of Materials(재료화학) 3:395 (1991)와 미국 특허번호 5,679,495 (발명자 Yamachika 외)에 공개되어 있다.
광산 발생제는 포토레지스트 중합체 그 자체에 혼합될 수 있다. 자외선에 노출된 영역에서, 중합체와 혼합된 PAG는 광화학적으로, 예를 들면, 중합체와 혼합된 술폰산기로 변환될 것이다. PAG 치환기들(substituents)을 보유하는 적합한 단량체들은 문헌에 잘 알려져 있다.
여기에서 제공된 조성물들 및 방법들에서 유용한 추가의 적합한 산 발생제들은 이 기술분야에서 통상의 지식을 가진 자들에게 알려질 것이며 그리고/또는 관련 문헌에 기술되어 있을 것이다.
필요하거나 또는 원한다면, 포지티브-톤 포토레지스트 제제는 기타 포토레지스트 중합체들과 첨가제들을 포함할 수 있는데, 그러한 첨가제들의 예로는 염료(dyes), 증감제(sensitizers), 안정화제(stabilizers)로 사용되는 첨가제, 용해 조절제(dissolution modifying agents) 또는 용해 억제제(dissolution inhibitors), 및 산 확산 조절제(acid-diffusion controlling agents), 염기성 화합물(basic compounds), 광파괴성 염기(photodestructible bases) (PDBs), 산증폭제(acid amplifiers) (AAs), 계면활성제 또는 소포제(surfactants or anti-foaming agents)와 같은 코팅용 산(coating aids), 가교제(crosslinking agents), 광속 조절제(photospeed control agents), 접착 촉진제(adhesion promoters) 및 가소제(plasticizers)가 있다.
염료들(dyes) 은 조제된 포토레지스트의 광 밀도(optical density)를 조절하기 위해 사용될 수 있고, 또한 증감제들(sensitizers)은 방사선을 흡수하여 그것을 광산 발생제로 전이시킴으로써 광산 발생제의 활성을 높인다. 염료와 증감제의 예들에는 기능화된 벤젠(functionalized benzenes), 피리딘(pyridines), 피리미딘(pyrimidines), 바이페닐렌(biphenylenes), 인덴(indenes), 나프탈렌, 안트라센(anthracenes), 쿠마린(coumarins), 안트라퀴논(anthraquinones), 기타 방향족 케톤(aromatic ketones), 및 전술한 것의 유도체(derivatives)와 유사체(analogs) 등의 방향족들(aromatics)이 포함된다.
한 실시 예에서, 상기 포토레지스트 제제는 중량으로 약 1%에서 중량으로 약 40%까지 용해 조절제 또는 용해 억제제를 포함한다. 또 다른 실시 예에서, 상기 포토레지스트 제제는 중량으로 약 5%에서 중량으로 약 30%까지 용해 조절제 또는 용해 억제제를 포함한다.
추가 실시 예에서, 용해 조절제 및 억제제는 상기 포지티브-톤 포토레지스트 제제와 상기 포토레지스트 제제의 캐스팅 용매에서 높은 용해도를 갖는다. 상기 용해 조절제 및/또는 용해 억제제를 갖는 포토레지스트들은 통상적으로 다음의 특성을 보인다: 강력한 용해 억제력(strong dissolution inhibition), 높은 노광 용해 속도(exposed dissolution rate), 및 관심 파장에서 실질적으로 투명함(substantial transparency at the wavelength of interest). 또한, 이들 포토레지스트들은 Tg에 관해 모더레이팅 효과 (moderating influence on Tg) 즉 강한 내식각성을 보일 수 있으며, 양호한 열안정성 (즉, 약 140 ℃ 또는 그 이상의 온도에서 안정성)을 보일 수 있다. 적합한 용해 억제제들로는 비스페놀-A 유도체들 (예를 들면, 여기에서 하이드록실 모이어티들 중 하나 또는 둘 모두가 t-부톡시카르보닐이나 t-부톡시카르보닐메틸기와 같은 t-부톡시 치환기 또는 그 유도체로 변환됨); CF3-비스페놀 A-OCH2(CO)-O-tBu (t-부톡시카르보닐메틸기로 보호되는 6F-비스페놀 A)와 같은 플루오르화 비스페놀-A 유도체들; 1-에톡시에틸, 1-프로폭시에틸, 1-n-부톡시에틸, 1-이소부톡시-에틸, 1-t-부틸옥시에틸, 및 1-t-아밀옥시에틸 기들과 같은 직쇄 또는 가지쇄 사슬(normal or branched chain) 아세탈 기들; 및 테트라하이드로퓨라닐, 테트라하이드로피라닐, 및 2-메톡시테트라하이드로-피라닐 기들과 같은 환식 아세탈 기들; 안드로스탄-17-알킬카르복실레이트 및 그 유사체들 - 상기 17-위치의 17-알킬카르복실레이트는 통상적으로 저급 알킬(lower alkyl)임 - 이 포함된다(그러나 이에 한정되지는 않는다). 이러한 화합물의 예들로는 콜릭(cholic), 우르소콜릭(ursocholic) 및 리소콜릭(lithocholic) 산의 저급 알킬 에스테르들이 포함되며, 이들에는 메틸 콜레이트(methyl cholate), 메틸 리소콜레이트, 메틸 우르소콜레이트, t-부틸 콜레이트, t-부틸 리소콜레이트, t-부틸 우르소콜레이트, 및 그 유사한 것 (예를 들면, 앨런 외, J. Photopolym. Sci. Technol. 8:263 (1995)) 참조); 상기 화합물들의 하이드록실-치환된 유사체들 (같은 책); 및 t-부틸 트리플루오로아세틸리소콜레이트와 같은 1에서 3까지의 C1-C4 플루오로알킬 카르보닐옥시 치환기들로 치환된 안드로스탄-17-알킬카르복실레이트 (예를 들면, 미국 특허번호 5,580,694 (발명자 앨런 외) 참조)가 포함된다.
가변의 염기도(varying basicity)를 갖는 아주 다양한 화합물들이 안정제 및 산 확산 조절 첨가제로 사용될 수 있다. 이들에는 지방족 1차, 2차 및 3차 아민과 같은 질소 함유 화합물, 피페리딘(piperidines)과 같은 환식 아민(cyclic amines), 피리미딘(pyrimidines), 모르폴린(morpholines), 피리딘(pyridines)과 같은 방향족 헤테로사이클(aromatic heterocycles), 피리미딘(pyrimidines), 퓨린(purines), 디아자바이시클로운데센(diazabicycloundecene)과 같은 이민(imines), 구아니딘(guanidines), 이미드(imides), 아미드(amides), 및 기타가 포함될 수 있다. 암모늄염(ammonium salts) 또한 사용될 수 있으며, 이들에는 수산화물, 페놀레이트(phenolates), 카르복실레이트(carboxylates), 아릴 및 알킬 술폰산염, 술폰아미드, 및 기타를 포함하는 알콕사이드(alkoxides)의 암모늄, 1차, 2차, 3차, 및 4차 알킬- 및 아릴암모늄염이 포함된다. 피리디늄염들(pyridinium salts)을 포함하는 다른 양이온 질소 함유 화합물들과 수산화물, 페놀레이트, 카르복실레이트, 아릴 및 알킬 술폰산염, 술폰아미드, 및 그와 유사한 것을 포함하는 알콕사이드(alkoxides)와 같은 음이온들을 갖는 다른 헤테로환식(heterocyclic) 질소 함유 화합물들의 염들 또한 채용될 수 있다.
계면활성제(surfactants)는 코팅 균일성(coating uniformity)을 향상시키는데 사용될 수 있고, 이에는 다양한 이온 및 비이온의, 단량체의, 올리고머의, 및 중합체의 종들이 포함된다.
아주 다양한 소포제들이 코팅 결함을 억제(suppress)하기 위해 채용될 수 있다.
접착 촉진제들(adhesion promoters) 또한 사용될 수 있으며, 아주 다양한 화합물들이 이 기능을 제공하기 위해 채용될 수 있다.
올리고- 및 폴리에틸렌글리콜 에테르, 시클로지방족 에스테르, 및 비산 반응성(non-acid reactive)의 스테로이드성으로 유도된 물질들과 같은 아주 다양한 단량체의, 올리고머의, 및 중합체의 가소제들이, 원할 경우, 가소제로 사용될 수 있다. 그러나, 위에서 언급된 여러 종류의 화합물들과 특정한 화합물들이 전부라거나 그것들에 한정된다는 것을 의도하는 것은 아니다.
건식 193 nm 리소그래피를 위해 설계된 종래의 포토레지스트들은 낮은 SRCA 값 (~ 50-55 ℃)과 수용할 수 없는 PAG 침출 속도(leaching rates)로 어려움이 따른다. 몇 가지 재료들과 방법들이 액침 리소그래피와 융화 가능한 포토레지스트를 만드는데 사용되었으며, 구체적으로는 PAG 침출을 감소시키고 물 접촉각을 높이기 위해 사용되었다. 염기 포토레지스트 중합체가 충분한 SRCA 값을 갖고 있다면, 액침액 속으로의 PAG의 침출을 감소시키기 위해 포토레지스트 제제에서 감소된 물 용해도를 갖는 PAG들이 사용될 수 있다. 이와 달리, 상기 포토레지스트가 충분한 SRCA를 갖고 있지 않다면, 레지스트 성분의 침출을 방지하고 물 접촉각을 조절하기 위해 상기 포토레지스트의 상부에 보호용 탑코트 재료(protective topcoat material)가 도포될 수 있다.
이와 달리, 계면-활성 올리고머 또는 중합체 첨가제가 표면 특성들 (특히 물 접촉각 특성들)을 조절하고 레지스트 성분이 액침 리소그래피 동안 액침액 속으로 침출되는 것을 방지하기 위해 사용될 수 있다. 많은 수의 탑코트형 및 레지스트형 첨가제들운 문헌에서 알려져 있다(샌더스 외, Proc. SPIE 6519:651904 (2007) 참조). 계면활성 첨가제들의 부하는 포토레지스트 중합체에 비해서 0.01 wt%에서 20 wt % 범위일 수 있고, 바람직하게는 0.1 wt%에서 5 wt% 범위일 수 있다.
이 기술분야에서 통상의 지식을 가진 자는 위에서 기술된 이러한 첨가제들이 수행하는 여러 가지 유형의 작용들을 실행하는데 사용될 수 있는 넓은 범위의 상업적으로 이용 가능한 제품들을 이해할 수 있을 것이다.
한 실시 예에서, 상기 포지티브-톤 포토레지스트 제제의 모든 첨가제들의 총량(sum)은 상기 포토레지스트 제제에 포함된 고형분의 중량으로 20% 미만을 포함할 것이다. 또 다른 실시 예에서, 상기 포토레지스트 제제의 모든 첨가제들의 총량은 상기 포토레지스트 제제의 중량으로 5% 미만을 포함할 것이다.
상기 포지티브-톤 포토레지스트 제제의 나머지는 캐스팅 용매로 구성된다. 캐스팅 용매의 선택은 포토레지스트 성분들의 용해성(solubility)과 혼화성(miscibility), 코팅 공정, 및 안전 및 환경 규제들에만 국한되지 않고 많은 요인들에 의해 지배된다. 또한, 다른 포토레지스트 성분들에 대한 불활성(inertness)이 바람직하다. 한 실시 예에서, 상기 캐스팅 용매는 적절한 휘발성(volatility)을 보유할 수 있는데, 이는 필름들(films)의 균일한 코팅을 가능하게 하고 또한 도포 후 굽기 공정 동안에 잔류 용매의 현저한 감소 또는 완전한 제거를 가능하게 한다. 예를 들면, Introduction to Microlithography(마이크로리소그래피 개론), Eds. Thompson 외, American Chemical Society, 1994를 참조한다. 상기 포토레지스트 제제가 다층 이미징 공정(multilayer imaging process)에서 사용될 경우, 상기 이미징 층 포토레지스트에서 사용되는 캐스팅 용매는 하부 재료들에 대한 용매가 아닌 것이 바람직한데, 그렇지 않으면 원하지 않는 상호 혼합(intermixing)이 발생할 수도 있다. 본 발명은 특정한 캐스팅 용매의 선택에 한정되지 않는다. 적합한 캐스팅 용매들은 일반적으로 에테르-, 에스테르-, 하이드록실-, 및 케톤-함유 화합물들 또는 이 화합물들의 혼합물들로부터 선택될 수 있다. 적절한 용매의 예들에는 이산화탄소, 시클로펜타논(cyclopentanone), 시클로헥사논(cyclohexanone), 에틸 3-에톡시프로피오네이트 (EEP), EEP와 γ-부티로락톤 (GBL)의 조합물, 에틸 락테이트와 같은 락테이트 에스테르, 프로필렌 글리콜 메틸 에테르 아세테이트 (PGMEA)와 같은 알킬렌 글리콜 알킬 에테르 에스테르, 메틸 셀로솔브(methyl cellosolve)와 같은 알킬렌 글리콜 모노알킬 에스테르, 부틸 아세테이트, 및 2-에톡시에탄올이 포함된다. 한 실시 예에서, 용매들에는 에틸 락테이트, 프로필렌 글리콜 메틸 에테르 아세테이트, 에틸 3-에톡시프로피오네이트 및 이들의 혼합물들이 포함된다. 레지스트-온-레지스트 이중 패터닝 스킴들에 있어서, 상기 포토레지스트에 4-메틸-2-펜탄올과 같은 알코올 용매가 사용되는 것이 바람직한데, 그럴 경우 필름 형성 동안 하부 포토레지스트 패턴을 용해시키거나 왜곡시키지 않을 것이다. 위의 캐스팅 용매들의 목록은 단지 예시의 목적으로 제시한 것이며 포괄적인 것으로 간주 되어서는 안 될 뿐만 아니라 용매의 선택이 어떤 식으로든 발명을 한정하는 것으로 간주 되어서도 안 된다. 이 기술분야에서 통상의 지식을 가진 자들은 캐스팅 용매로 사용될 수 있는 용매 또는 용매 혼합물의 가지 수에 제한이 없다는 것을 이해할 수 있을 것이다.
한 실시 예에서, 상기 포지티브-톤 포토레지스트 제제의 총 중량의 약 50% 이상의 캐스팅 용매를 포함한다. 또 다른 실시 예에서, 상기 포지티브-톤 포토레지스트 제제의 총 중량의 약 80% 이상의 캐스팅 용매를 포함한다.
본 발명의 또 다른 실시 예에서, 포지티브-톤 포토레지스트를 패터닝하는 방법이 제공되며, 상기 방법은: (a) 본 발명의 포지티브-톤 포토레지스트의 한 층(a layer)을 기판에 도포하는 단계; (b) 선택적으로, 상기 포지티브-톤 포토레지스트를 굽는 단계; (c) 패턴에 따라(patternwise) 상기 포지티브-톤 포토레지스트를 노광시키는 단계; (d) 선택적으로, 상기 노광된 포지티브-톤 포토레지스트를 굽는 단계; 및 (e) 상기 포지티브-톤 포토레지스트의 부분들을 선택적으로 제거하도록 상기 포지티브-톤 포토레지스트를 현상하는 단계를 포함한다.
상기 포토레지스트는 통상적으로 스핀 코팅(spin coating)에 의해 기판에 도포되며, 스프레이 코팅(spray coating), 딥 코팅(dip coating), 닥터 블레이딩(doctor blading), 롤 코팅(roll coating), 및 그와 유사한 코팅에 의해서도 도포될 수 있다. 상기 기판은 실리콘(silicon)과 이산화 실리콘(silicon dioxide)을 포함하는 무기질(inorganic) 재료의 웨이퍼 또는 시트(sheet)와 다른 무기질 산화물(oxides), 질화물(nitrides), 및 탄화물(carbides)을 포함할 수 있다. 상기 기판은 또한 단일 또는 다층 바닥 반사방지 코팅들(single or multilayer bottom anti-reflective coatings) (BARC들), 패턴된 포토레지스트들, 스핀-온 탄소층들(spin-on carbon layers), 평탄화층들(planarization layers), 캡층들(cap layers), 시드층들(seed layers), 유전체층들(dielectric layers), 금속층들(metal layers), 및 반도체 디바이스의 다른 하부 레벨들(underlying levels)을 포함할 수 있다.
상기 포토레지스트의 도포 후에, 상기 기판은 잔류 캐스팅 용매를 제거하고 상기 포토레지스트 필름의 밀도를 높이기 위해 높은 온도에서 선택적으로 구워질 수 있다. 통상적인 도포 후 굽기 (PAB) 온도는 60 ℃에서 150 ℃까지 범위이고, 더 통상적으로는 70 ℃에서 120 ℃까지 범위이며, 훨씬 더 통상적으로는 80 ℃에서 100 ℃까지 범위이다. 도포 후 굽기는 1초에서 10분 또는 그 이상으로 지속할 수 있으나, 통상적인 도포 후 굽기는 30초에서 90초 사이로 지속한다.
상기 포토레지스트의 필름의 두께는 1 nm에서 5000 nm 범위일 수 있다. 193 nm 물 액침 리소그래피에서 사용되는 통상적인 탑코트-프리(topcoat-free) 포토레지스트 두께는 40에서 150 nm 범위이다. EUV 또는 전자빔 리소그래피에 대해서는 더 얇은 포토레지스트 두께가 바람직할 수 있으며 248 nm, 365 nm, 또는 436 nm 리소그래피에 대해서는 더 두꺼운 층들이 바람직할 수도 있다.
상기 포토레지스트는 자외선 (예를 들면, i-선 또는 g-선), 심자외선(deep ultraviolet radiation) (예를 들면, 파장 < 260 nm), 극자외선(extreme ultraviolet radiation), 또는 전자빔 방사선(electron beam radiation)을 이용하여 노광될 수 있다. 구체적으로 유용한 파장으로는 254 nm, 248 nm, 193 nm, 157 nm, 및 13.5 nm가 포함된다. 일 실시 예에서, 상기 포토레지스트는 193 nm 액침 리소그래피를 이용하여 노광될 수 있다. 추가 실시 예에서, 상기 포토레지스트는 193 nm 물 액침 리소그래피를 이용하여 노광될 수 있다. 물은 특별히 높은 투명도, 양호한 굴절률(refractive index), 양호한 표면장력 대 점도 비율(surface tension to viscosity ratio), 양호한 열 특성, 낮은 비용, 낮은 독성, 및 높은 순도(purity)에서 준비된 가용성(availability)으로 인해 적합한 액침액이다.
대체 가능한 액침액으로는 산 수용액, 무기염과 암모늄염을 포함한 이온 종, 수용성 유기 화합물, 계면활성제, 미셀(micelles), 및 나노입자가 포함된다. 추가적인 액침액으로는 탄화수소 액체가 포함된다. 탄화수소 액침액은 선형, 가지형, 환식, 및 다환식 포화 알칸(poly cyclic saturated alkanes)을 포함할 수 있다. 이들 중에서, 8~12 탄소들의 포화 다환식 알칸은 특히 193 nm에서 높은 굴절률과 충분한 투명도의 조합을 갖는 것이 장점이다. 탄화수소 액침액의 예들은 옥타하이드로인덴(octahydroindene), 1,1-바이시클로헥실, 시스-데카하이드로나프탈렌(cis-decahydronaphthalene), 트랜스-데카하이드로나프탈렌(trans-decahydronaphthalene), 시스/트랜스-데카하이드로나프탈렌, 및 엑소-테트라하이드로디시클로펜타디엔(exo-tetrahydrodicyclopentadiene)이 포함된다. 물- 및 탄화수소-계 액침액은 또한 나노입자를 더 포함할 수 있다. 적합한 나노입자 재료의 예들로는 하프늄 산화물(hafnium oxide), 루테튬 알루미늄 가넷(lutetium aluminum garnet), 마그네슘 산화물, 알루미나, 이산화 실리콘이 포함된다. 나노입자는 또한 리간드(ligands) 또는 표면이 그라프트된 계면활성제(surface grafted surfactants)를 포함할 수 있으며, 이들은 나노입자 분산물(nanoparticle dispersions)을 안정화시키고 응집을 방지할 것이다.
노광 후에, 상기 포토레지스트는 화학 반응을 촉진하기 위해 선택적으로 노광 후 굽기 (PEB)가 행해질 수 있다. PEB 온도는 50에서 150 ℃ 범위일 수 있고, 자세하게는 60에서 140 ℃ 사이 범위일 수 있으며, 좀 더 자세하게는 70에서 130 ℃ 사이 범위일 수 있다. 노광 후 굽기 공정은 1초에서 10분까지 지속할 수 있고, 더 바람직하게는 2초에서 2분까지 지속할 수 있으며, 좀 더 바람직하게는 10초에서 1분까지 지속할 수 있다.
한 실시 예에서, 상기 포토레지스트는 포지티브-톤 패턴을 생성하도록 상기 포토레지스트의 노광된 부분들을 제거하기 위해 표준 알칼리 포토레지스트 현상액 (보통 0.26N 수성 수산화 테트라메틸암모늄)을 이용하여 현상된다.
또 다른 실시 예에서, 상기 포토레지스트는 포지티브-톤 패턴을 생성하도록 상기 포토레지스트 재료의 노광된 영역들을 제거하기 위해 유기 용매를 이용하여 현상될 수 있다. 적합한 유기 용매의 예에는 에틸렌 글리콜, 프로필렌 글리콜, 이소프로판올, 및 이들의 혼합물이 포함된다.
추가 실시 예에서, 상기 포지티브-톤 포토레지스트는 네거티브-톤 패턴을 생성하도록 비노광된 포토레지스트 재료를 제거하기 위해 유기 용매를 사용하여 현상된다. 이러한 공정은 또한 포지티브-톤 포토레지스트의 네거티브-톤 현상이라 불린다.
그 결과 얻어지는 포토레지스트 패턴은 직접 사용되거나 더 조작될 수 있다. 추가적인 조작에는 여러 가지 리소그래피 공정, 표면 개량 공정, 트림 공정, 및 증착 공정이 포함되며, 특히 이중 패터닝(double patterning) 리소그래피 공정들에 필요한 공정들이 포함된다. 상기 포토레지스트 패턴은 이온 주입(ion implantation) 동안에 기판을 보호하는데 사용될 수 있다. 구체적으로, 상기 포토레지스트 패턴은 최종적으로 하부 기판으로 전사될 수 있다. 패턴 전사(pattern transfer)는 건식 공정 또는 습식 공정 둘 중 하나에 의해 이루어질 수 있다. 구체적으로, 산소 또는 불소함유 기체를 이용한 반응성 이온 식각 (RIE)이 상기 패턴을 전사하는데 사용될 수 있으며, 이는 기판의 화학적 성질에 좌우된다. 상기 기판이 바닥 반사방지코팅 (BARC) 층을 포함하고 있으면, 패턴을 하부 기판으로 전사하기 전에 파괴 식각 공정(breakthrough etch process)이 필요할 수 있다. 광영상화가능한(photoimageable) 또는 현상가능한 BARC가 사용되면, 위와 같은 공정은 필요하지 않을 수 있다. 패턴이 산화층(oxide layer)과 같은 유전체(dielectric material)로 전사되면, 그 전사된 유전체(the resulting features)에는 선이 그어지고 그 다음 알루미늄, 구리, 또는 텅스텐과 같은 전도성 금속으로 채워져 금속 와이어(wires), 상호 연결부(interconnects), 및 콘택트(contacts)를 형성할 수 있다.
이하에서 탑코트(topcoat)가 포토레지스트에 도포되는 포토레지스트 조성물들에 관하여 기술한다. 본 발명의 맥락에서, 포토레지스트에 탑코트를 도포하는 것은 대안적인 실시 예이며, 이는 여기에 기술된 탑코트-프리 포토레지스트들의 범위를 한정하려고 하는 것은 아니라는 것을 이해해야 한다.
본 발명의 추가 실시 예에서, 포지티브-톤 포토레지스트를 패터닝하는 방법이 제공되며, 상기 방법은: (a) 본 발명의 상기 포지티브-톤 포토레지스트 조성물의 한 층(a layer)을 기판에 도포하는 단계; (b) 선택적으로, 상기 포지티브-톤 포토레지스트를 굽는 단계; (c) 상기 포지티브-톤 포토레지스트상에 탑코트를 형성하기 위해 탑코트 재료의 한 층을 도포하는 단계; (d) 선택적으로, 상기 탑코트를 굽는 단계; (e) 패턴에 따라 상기 포지티브-톤 포토레지스트를 노광시키는 단계; (f) 선택적으로, 상기 노광된 포지티브-톤 포토레지스트를 굽는 단계: 및 (g) 상기 탑코트 재료와 상기 포지티브-톤 포토레지스트의 부분들을 선택적으로 제거하기 위해 상기 포지티브-톤 포토레지스트를 현상하는 단계를 포함한다.
수많은 적합한 탑코트들이 문헌에 알려져 있다. (일본 도쿄 소재) 도쿄 오카 코교(Tokyo Ohka Kogyo)의 TSP-3A와 같은 초기 탑코트들은 소수성 불소 중합체(fluoropolymer)들에 기초했다. 이 재료들은 물과 매우 큰 후진 접촉각 (> 100°)을 가지고 양호한 리소그래피 성능을 가능하게 함에도 불구하고, 이 불소 중합체 탑코트들은 표준 수성 수산화 테트라메틸암모늄 포토레지스트 현상액(standard aqueous tetramethylammonium hydroxide photoresist developer)에 용해가 가능하지 않으며(not soluble), 따라서 레지스트 현상 전에 플루오르화 용매(fluorinated solvent)를 사용하여 추가의 탑코트 제거 단계를 필요로 한다. 이러한 추가 공정의 단계들과 재료들은 이런 유형의 탑코트의 소유비용(cost-of-ownership)을 증가시킨다. 이러한 이유들과 다른 이유들로 인해서, 이 탑코트들은 더 이상 상업적으로 이용 가능하지 않다. 대신에, 알칼리-용해성 탑코트들이 선호되는데, 그 이유는 포토레지스트 현상 단계 동안에 제거될 수 있기 때문이다.
필요하거나 또는 원한다면, 상기 탑코트 제제는, 캐스팅 용매(casting solvent)와 탑코트 중합체 외에도, 다른 중합체들 및/또는 첨가제들을 포함할 수 있으며, 그 예들로는 염료, 증감제, 안정화제로 사용되는 첨가제, 광산 발생제, 용해 조절제(dissolution modifying agents) 또는 용해 억제제(dissolution inhibitors), 및 산 확산 조절제(acid-diffusion controlling agents), 염기성 화합물(basic compounds), 광파괴성 염기(photodestructible bases, PDBs), 산증폭제(acid amplifiers, AAs), 계면활성제(surfactants) 또는 소포제(anti-foaming agents)와 같은 코팅용 산(coating aids), 가교제(crosslinking agents), 광속 조절제(photospeed control agents), 접착 촉진제(adhesion promoters) 및 가소제(plasticizers)가 있다.
상기 탑코트 중합체는 상기 탑코트의 여러 가지 특성들을 조절하기 위해 공단량체들을 더 포함할 수 있다. 예를 들면, 소수성 공단량체들은 물 접촉각 값들을 높이기 위해 결합될 수 있다. 적합한 소수성 공단량체들에는 메타크릴레이트, α-(트리플루오로메틸)아크릴레이트, α-(플루오로)아크릴레이트, 그리고 알킬, 플루오로알킬 및 퍼플루오로알킬 치환기들을 보유하는 아크릴레이트 단량체들이 포함된다. 몇 가지 예를 들어보면 다음과 같다: 1,1,1,3,3,3-헥사플루오로프로-2-필 메타크릴레이트(1,1,1,3,3,3-hexafluoroprop-2-yl methacrylate), 2,2,2-트리플루오로에틸 메타크릴레이트(2,2,2-trifluoroethyl methacrylate), 4,4,4,3,3,2,2-헵타플루오로부틸 메타크릴레이트(4,4,4,3,3,2,2-heptafluorobutyl methacrylate). 다른 공단량체들에는 환식 올레핀 (예를 들면, 노보넨-계(norbornene-based)) 단량체들이 포함되며, 이들은 알킬, 플루오로알킬 및 퍼플루오로알킬 치환기들과 같은 소수성 기들을 포함할 수 있다.
염기 용해성 기들 (base-soluble groups)을 포함하는 공단량체들이 또한 알칼리 현상 용액들에서 용해 속도를 더 높이기 위해 혼합될 수 있다. 적합한 염기 용해성 기들에는 카르복실산 및 플루오로알코올 (특히 헥사플루오로알코올) 기들이 포함된다. 대표적인 카르복실산 함유 단량체들에는 아크릴산, 메타크릴산, α-(트리플루오로메틸)아크릴산, α-(플루오로)아크릴산, 말레산(maleic acid), 푸마르산(fumaric acid), 노르본-5-엔-2-카르복실산(norborn-5-ene-2-carboxylic acid), 노르본-5-엔-2-메틸-2-카르복실산, 노르본-5-엔-2-플루오로-2-카르복실산, 노르본-5-엔-2-트리플루오로메틸-2-카르복실산 등이 포함된다.
대표적인 헥사플루오로알코올 함유 단량체들에는 5/6-(3,3,3-트리플루오로-2-하이드록시-2-(트리플루오로메틸)프로필)바이시클로[2.2.1]헵탄-2-일 메타크릴레이트 (NBHFAMA), 1-시클로헥실-4,4,4-트리플루오로-3-하이드록시-3-(트리플루오로메틸)부탄-1-일 메타크릴레이트 (MA-ACH-HFA), 및 3,5-비스 (1,1,1,3,3,3-헥사플루오로프로판-2-올-2-일)시클로헥실 메타크릴레이트, 및 1,1,1-트리플루오로-2-트리플루오로메틸-2-하이드록시-펜트-4-엔, 2-(1,1,1-트리플루오로-2-트리플루오로메틸-2-하이드록시-프로-3-필)노르본-5-엔 (NBHFA), 및 1,1,1-트리플루오로-2-트리플루오로메틸-2-하이드록시-펜탄-4-일 메타크릴레이트 (MA-BTHB-OH)가 포함된다. 다른 적합한 헥사플루오로알코올 함유 단량체들은 H. 이토(Ito), "Chemical Amplification Resists for Micro lithography(마이크로리소그래피를 위한 화학적 증폭 레지스트)," Adv. Polym. Sci., 172:37 (2005) 및 샌더스(Sanders) 외, Proc. SPIE 6519:651904 (2007)에 보고되어 있다.
이와 달리, 락톤(lactones)과 카르복실산 무수물(anhydrides)과 같은 염기-반응성 기들(base-reactive groups)이 대신 사용될 수 있다. 카르복실산 무수물을 보유하는 대표적인 단량체들에는 말레산 무수물(maleic anhydride), 노르본-5-엔-2,3-카르복실산 무수물이 포함된다. 락톤 기들을 보유하는 대표적인 단량체들에는 α-메틸렌-γ-부티로락톤, γ-메틸렌-γ-부티로락톤, 2-옥소-테트라하이드로퓨란-3-일 아크릴레이트, 2-옥소-테트라하이드로퓨란-3-일 메타크릴레이트, 5-옥소-테트라하이드로퓨란-3-일 아크릴레이트, 5-옥소-테트라하이드로퓨란-3-일 메타크릴레이트, 헥사하이드로-2-옥소-3,5-메타노-2H-시클로펜타[b]퓨란-6-일 메타크릴레이트 (NLM) 등이 포함된다.
강산성 기들을 보유하는 단량체들은 포토레지스트와의 탑코트 상호작용을 조절하고 이미징된 포토레지스트 구조들의 프로파일들을 조절하기 위해 결합될 수 있다. 특히, 술폰산기들을 보유하는 단량체들은 이 목적에 적합한 것으로 알려져 있다(demonstrated). 술폰산기들을 보유하는 대표적인 단량체들에는 비닐 술폰산(vinyl sulfonic acid), 알릴 술폰산(allyl sulfonic acid), 2-술포에틸 메타크릴레이트, 2-술포에틸 아크릴레이트, 3-술포프로필 메타크릴레이트, 3-술포프로필 아크릴레이트, 2-아크릴아미도-2-메틸-1-프로판술폰산, 2-메타크릴아미도-2-메틸-1-프로판술폰산, 및 4-비닐-벤젠술폰산이 포함된다.
광산 발생제를 보유하는 단량체들은 강산 기들을 보유하는 단량체들 대신에 탑코트에 결합될 수 있다. 자외선에 노광된 영역에서, 중합체-결합된(polymer-bound) PAG는 광화학적으로, 예를 들어 중합체-결합된 술폰산으로 변환될 수 있다. PAG 치환기들을 보유하는 적합한 수많은 단량체들이 문헌에 알려져 있다.
상기 탑코트 용매는 하나의 용매 또는 둘 또는 그 이상의 다른 휘발성 용매를 포함할 수 있다. 하부 레지스트를 용해시키지 않는 바람직한 용매들에는 저지방족 알코올(low aliphatic alcohols), 지방족 또는 방향족 에테르(aliphatic or aromatic ethers), 퍼플루오로알킬 에테르(perfluoroalkyl ethers), 플루오로알킬 에테르(fluoroalkyl ethers), 포화 탄화수소(saturated hydrocarbons), 수소화플루오르화탄소(hydrofluorocarbons), 퍼플루오르화탄소(hydrofluorocarbons) 및 전술한 것들의 혼합물들이 포함된다. 캐스팅 용매의 예로는 2-부탄올, 4-메틸-2-펜탄올, 디-n-부틸 에테르(di-n-butyl ether), 및 2-옥탄올과 n-데칸의 혼합물들이 포함된다. 적합한 용매들의 다른 많은 예들이 이 기술분야에 알려져 있다. 캐스팅 용매는 총 고형분 계산(total solids calculations)에는 포함되지 않는다. 또한, 안정화제, 계면활성제 및 다른 첨가제들은 (만약 있을 경우) 캐스팅 용액에 첨가될 수 있다. 한 예에서, 계면활성제는 중량으로 캐스팅 용액의 총 고형분 함량의 약 1 퍼센트 미만을 포함한다. 한 예에서, 안정화제 및 다른 첨가제들은 함께 캐스팅 용액의 총 고형분 함량의 중량의 약 10 퍼센트 미만을 포함한다. 계면활성제, 안정화제 및 다른 첨가제들은 완성된 캐스팅 용액을 구성하기 위해 염기성 캐스팅 용액에 고형분으로 또는 용해된 고형분의 용액으로 첨가될 수 있다.
상기 탑코트는 통상적으로 스핀 코팅(spin coating)에 의해서 포토레지스트의 상단에 도포되며, 스프레이 코팅 (spray coating), 딥 코팅(dip coating), 닥터 블레이딩(doctor blading), 롤 코팅 (roll coating) 등에 의해서도 또한 도포될 수 있다. 하부 포토레지스트(the underlying photoresist)의 필름의 두께는 1 nm에서 5000 nm범위이다. 193 nm 물 액침 리소그래피에 사용되는 통상적인 포토레지스트의 두께는 40에서 150 nm 범위이다. EUV 또는 전자빔(e-beam) 리소그래피에서는 더 얇은 포토레지스트 두께가 요구될 수 있고 248 nm, 365 nm, 또는 436 nm 리소그래피에서는 더 두꺼운 층이 요구될 수 있다.
상기 탑코트의 도포 후에, 기판은 잔류 캐스팅 용매를 제거하고 상기 탑코트 필름의 밀도를 높이기 위해 높은 온도에서 선택적으로 구워질 수 있다. 통상적으로, 상기 도포 후 굽는 온도는 상기 두 재료 층들의 상호확산을 최소화하기 위해 하부 포토레지스트 필름의 유리 전이 온도보다 낮다. 통상적인 도포 후 굽는 온도는 60 ℃에서 150 ℃ 범위이고, 더 통상적으로는 70 ℃에서 120 ℃ 범위이며, 훨씬 더 통상적으로는 80 ℃에서 100 ℃ 범위이다. 도포 후 굽기는 1초에서 10분 또는 그 이상 지속할 수 있으나, 통상적인 도포 후 굽기는 30초에서 90초 동안 지속할 수 있다.
상기 탑코트의 필름의 두께는 1 nm에서 5000 nm 범위가 될 수 있다. 193 nm 물 액침 리소그래피에 사용되는 통상적인 탑코트의 두께는 30에서 120 nm 범위이다. 상기 탑코트의 필름의 두께는 양질의 반사 방지 특성(good anti-reflective properties) 및 양질의 내침출성(good leaching resistance)을 제공하기 위해 조정될 수 있다. 탑 반사 방지 코팅 (TARC) 재료들은 반사성을 최소화하고 리소그래피 성능을 최대화하도록 건식 리소그래피에서 사용되었다. 비흡수 TARC(a non-absorbing TARC)의 이상적인 굴절률(refractive index)은
Figure pct00005
에 의해 주어지며, 여기서 nTARC, nimersionfluid, 및 nresist는 TARC, 액침액(immersion fluid) 및 포토레지스트 각각의 굴절률이다. 또한, 이상적인 두께 (T)는 파장(wavelength)의 1/4인
Figure pct00006
이며, 여기서λ0은 방사선(the radiation)의 진공 파장(the vacuum wavelength) 이다. 흡수 TARC 재료들의 이상적인 광학 특성 및 두께를 계산하는 더 일반적인 방법들은 문헌에 알려져 있다.
상기 포토레지스트는 자외선 (예를 들면, i-선 또는 g-선), 심자외선(deep ultraviolet radiation) (예를 들면, 파장 < 260 nm), 극자외선(extreme ultraviolet radiation), 또는 전자빔 방사선(electron beam radiation)을 이용하여 노광될 수 있다. 특히 유용한 파장들에는 436 nm, 365 nm, 254 nm, 248 nm, 193 nm, 157 nm, 및 13.5 nm가 포함된다. 일 실시 예에서, 상기 포토레지스트는 193 nm 액침 리소그래피를 이용하여 노광될 수 있다. 추가 실시 예에서, 상기 포토레지스트는 193 nm 물 액침 리소그래피를 이용하여 노광될 수 있다. 물은 높은 투명도, 양질의 굴절률, 양질의 표면장력 대 점도 비율, 양질의 열 특성(thermal properties), 낮은 비용, 낮은 독성(toxicity), 및 높은 순도에서 준비된 가용성(ready availability)으로 인해 특별히 적합한 액침액이다.
노광(exposure) 후에, 상기 포토레지스트는 화학 반응을 촉진하기 위해 선택적으로 노광 후 굽기 (PEB)가 행해질 수 있다. PEB 온도는 50에서 150 ℃ 범위일 수 있고, 구체적으로는 60에서 140 ℃ 사이 범위일 수 있으며, 더 구체적으로는 70에서 130 ℃ 사이 범위일 수 있다. 노광 후 굽기 공정은 1초에서 10분까지 지속할 수 있고, 더 바람직하게는 2초에서 2분까지 지속할 수 있으며, 좀 더 바람직하게는 10초에서 1분까지 지속할 수 있다.
상기 탑코트는 하부 포지티브-톤 포토레지스트의 현상 전에 또는 현상 동안에 제거될 수 있다. 한 실시 예에서, 상기 탑코트는 포토레지스트 현상 공정 동안에 표준 알칼리 포토레지스트 현상액 (보통은 0.26N 수성 수산화 테트라메틸암모늄(0.26 N aqueous tetramethylammonium hydroxide))을 사용하여 제거된다. 이와는 달리, 상기 탑코트는 하부 포토레지스트의 현상 전에 유기 용매로 제거될 수도 있다. 이 목적에 적합한 용매들에는 이전에 열거된 탑코트 캐스팅 용매들이 포함된다. 또한, 상기 탑코트는 하부 포지티브-톤 포토레지스트의 현상 동안에 유기 용매를 사용하여 제거될 수도 있다. 이러한 공정에서, 상기 탑코트 및 상기 포지티브-톤 포토레지스트의 노광된 영역들은 포지티브-톤 패턴을 형성하기 위해 에틸렌 글리콜, 프로필렌 글리콜, 이소프로판올, 및 이들의 혼합물들과 같은 유기 용매들을 이용하여 한 단계에서 제거될 수 있다. 이와는 달리, 상기 탑코트 및 상기 포지티브-톤 포토레지스트의 노광되지 않은 영역들은 네거티브-톤 패턴을 형성하기 위해 아니솔(anisole)과 같은 유기 용매들을 이용하여 한 단계에서 제거될 수 있다.
상기 결과로 얻는 포토레지스트 패턴은 직접 사용되거나 더 조작될 수 있다(manipulated). 추가 조작(manipulations)에는 여러 가지 리소그래피 공정, 표면 개질(surface modification) 공정, 트림 공정, 및 증착 공정이 포함되며, 특히 이중 패터닝 리소그래피 공정들(double patterning lithographic processes)에 필요한 공정들이 포함된다. 상기 포토레지스트 패턴은 이온 주입(ion implantation) 동안에 기판(substrate)을 보호하는데 사용될 수 있다. 특히, 상기 포토레지스트 패턴은 궁극적으로 하부 기판(underlying substrate)으로 전사될(transferred) 수 있다. 패턴 전사는 건식 또는 습식 식각 공정들 중 하나에 의해서 이루어질 수 있다. 특히, 산소 또는 불소-함유 기체를 이용한 반응성 이온 식각 (reactive ion etch, RIE)은 기판의 화학적 성질(chemistry)에 따라서 좌우되는 패턴을 전사하는데 사용될 수 있다. 기판이 바닥 반사방지코팅 (bottom anti-reflective coating, BARC) 층을 포함하면, 패턴을 하부 기판으로 전사하기 전에 파괴 식각 공정(breakthrough etch process)이 필요할 수 있다. 광영상화가능한(photoimageable) 또는 현상가능한(developable) BARC가 사용되면, 위와 같은 공정은 필요하지 않을 수 있다. 패턴이 산화층(oxide layer)과 같은 유전체(dielectric material)로 전사되면, 그 전사된 산화층(the resulting features)에는 선이 그어지고(lined) 그 다음 알루미늄, 구리, 또는 텅스텐과 같은 전도성 금속으로 채워져 금속 와이어(wires), 상호 연결부(interconnects), 및 콘택트(contacts)를 형성할 수 있다.
실험(EXPERIMENTAL)
달리 표시되지 않는 한, 구성요소들은 중량에 의해 표시된 구성요소들(parts are parts by weight)이고, 온도는 ℃이며, 압력은 대기압이거나 대기압에 가깝다. 5/6-((트리플루오로메틸술폰아미도)메틸)바이시클로[2.2.1]헵탄-2-일 메타크릴레이트 (AMNB-Tf-MA) 및 2-(트리플루오로메틸술폰아미도)에틸 메타크릴레이트 (EATf-MA)는 (일본 소재) 센트럴 글라스 (Central Glass)로부터 구입했다. 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN)은 알드리치 케미컬 컴퍼니(Aldrich Chemical Co.)로부터 구입했다. 또한, 다른 모든 출발 물질(starting materials)은 상업적으로 구입했거나 알려진 방법을 이용하여 합성되었다.
적절한 경우, 다음의 기술들과 장비(equipment)가 예들에서 사용되었다: 1H, 13C 및 19F NMR 스펙트럼은 애반스 400 분광기(Avance 400 spectrometer) 상에서 실온에서 획득했다. 양적 반전 게이트된(quantitative inverse-gated) 13C NMR 스펙트럼은 Cr(acac)3을 아세톤-d6에서 이완제(relaxation agent)로 사용하여 획득했다. 열-중량 분석 (thermo-gravimetric analysis: TGA)은 TA Instrument Hi-Res TGA 2950 열 중량분석기(Thermogravimetric Analyzer)상에서 N2에서 5℃/min의 가열 속도로 수행되었다. 시차 주사 열량분석법 (differential scanning calorimetry: DSC)은 TA Instruments DSC 2920의 모듈화된 시차 주사 열량계(differential scanning calorimeter)상에서 5℃/min의 가열 속도로 수행되었다. 상기 샘플은 130℃까지 가열되었다가, 실온에서 식힌 다음, 250℃까지 가열되었다. 유리 전이 온도들은 두 번째 가열 운전(heating run)에서 얻은 데이터를 이용하여 결정되었다. 분자량은 테트라하이드로퓨란 (THF)를 용리액(eluent)으로 사용하는 폴리스티렌 표준(polystyrene standards)에 대한 물 모델 150 크로마토그래프(Waters Model 150 chromatograph)를 이용한 크기 배제 크로마토그래피(size-exclusion chromatography)를 이용하여 측정되었다. IR 스팩트럼은 니콜레(Nicolet) 510 FT-IR 분광기상에서 KBr 플레이트상의 필름 캐스트(film cast)상에 기록되었다. 필름 두께는 텐코(Tencor) 알파-스텝 2000 또는 나노스펙(Nanospec) 상에서 측정되었다. 수성 0.26N 수산화 테트라메틸암모늄 (TMAH) 용액 (후지필름 옵티일드(Optiyield) CD)에서 레지스트 필름(resist films)의 용해 반응속도(dissolution kinetics)를 연구하는데 수정진동자 미세저울(quartz crystal microbalance, QCM)과 함께 맥스테크 인코포레이티드(MAXTEC Inc.)의 PLO-10 위상 고정 오실레이터(Phase lock oscillator)가 사용되었다. 리소그래피 평가(lithographic evaluation)는 193 nm 건식 노광 장비 (ISI, 0.6NA, COG 마스크) 또는 193 nm 간섭식 노광 장비(interferometric exposure tool)상에서 수행되었다.
아래의 예들 2-4는 알려진 술폰아미드-함유 메타크릴레이트 단량체들로부터 포토레지스트들 (R1-R3)의 합성에 관해 기술하고, 예들 5-11은 본 발명의 술폰아미드-함유 포토레지스트 조성물들 (R4-R10)의 합성에 관해 기술한다. 예들 12-17은 포토레지스트들 R1-R10의 비교 리소그래피 이미징 성능(comparative lithographic imaging performance)을 제시한다. 예 18은 알코올 용매에 선택된 포토레지스트들을 용해시키고 알코올 용매로부터 유용한 필름들을 스핀 캐스팅(sping casting)하는 능력을 예시한다.
예 1(EXAMPLE 1)
합성 중합(SYNTHETIC POLYMERIZATION))
여기에서 중합체들을 조제하는데 사용된 대표적인 프리 라디칼 중합 공정(free-radical polymerization procedure)은 다음과 같다: 100 mL 둥근바닥 플라스크에, 단량체 (1 당량)와 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (0.04 당량)을 넣는다. 중량으로 약 25%의 고형체(solids solution)를 제공하기 위해 메틸 에틸 케톤 (미국 뉴욕주 호손, EM 인더스트리즈, 시약 등급, OMNISOLV®)을 넣는다. 고무 격벽(rubber septum)을 구비한 환류 응축기(reflux condenser)가 도입되고 산소를 상기 용액에서 제거하는데, 세 번의 순차적인 펌프-백필 사이클 (three sequential pump-backfill cycles)에 의해서 하며, 질소를 사용하고 강하게 교반시키면서(while stirring vigorously) 한다. 상기 플라스크는 하루밤 동안 환류에서 상기 반응을 가열하기 전에 양의(positive) 질소 압력하에 둔다. 상기 반응이 완료된 후에, 상기 반응 혼합물은 실온으로 냉각되고 상기 중합체 용액은 비-용매(a non-solvent) (통상적으로, 헥산 또는 메탄올) 내로 침전된다. 상기 침전된 중합체는 (중간 다공도의) 세라믹 프릿 부흐너 깔대기(ceramic frit Buchner funnel)로 수거된다. 상기 중합체는 잔류 단량체와 다른 중합 부산물들을 제거하기 위해서 필요한 만큼 여러 번 (통상적으로, 총 2~3회의 침전) 메틸 에틸 케톤으로부터 비용매 내로 재침전된다. 최종 중합체는 (중간 다공도의) 세라믹 프릿 부흐너 깔대기로 분리되고 충분한 비용매(excess non-solvent)로 세정된다. 상기 중합체는 진공 상태에서 70 ℃와 90 ℃ 사이에서 하루밤 동안 건조되고, 그 시간 이후에 진공 상태에서 실온으로 냉각되게 둔다.
예 2
폴리(EATf-MA/MADMA/NLM) (15:45:40) (R1)의 합성
Figure pct00007
100 mL 둥근바닥 플라스크에, 2-(트리플루오로메틸술폰아미도)에틸 메타크릴레이트 (EATf-MA) (1.11 g, 4.3 mmol, 0.15 당량), 2-메틸아다만-2-틸 메타크릴레이트 (MADMA) (3.0 g, 12.8 mmol, 0.45 당량), 헥사하이드로-2-옥소-3,5-메타노-2H-시클로펜타[b]퓨란-6-일 메타크릴레이트 (또한 2-옥소-3-옥사트리시클로[4.2.1.04.8]노난-5-일 메타크릴레이트, NLM이라 기술됨) (2.53 g, 11.4 mmol, 0.40 당량), 1-도데칸티올 (115 mg, 0.57 mmol, 0.02 당량), 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (187 mg, 0.04 당량), 및 메틸 에틸 케톤 (20 mL)을 넣는다. 고무 격벽을 구비한 환류 응축기가 도입되고, 산소를 상기 용액으로부터 제거하는데, 세 번의 순차적인 펌프-백필 사이클(sequential pump-backfill cycles)에 의해서 하며, 질소를 사용하고 강하게 교반시키면서(while stirring vigorously) 한다. 반응 플라스크는 질소 아래에 두었다가 하루밤 동안 환류하기 위해 오일 욕조로 옮겨진다. 완료 후, 반응 플라스크는 실온으로 냉각되고 상기 중합체는 30 배 초과 헥산(a 30-fold excess of hexane) 내로 침전된다. 상기 중합체는 중간 다공도의 세라믹 프릿 부흐너 깔대기를 사용하여 분리된다. 백색 중합체(white polymer)는 소량의 메틸 에틸 케톤 내로 재용해되고 상기 침전 공정은 2회 반복된다. 부흐너 깔대기에서 최종 분리 후, 상기 중합체는 헥산으로 1회 세정된다. 상기 중합체는 진공 80 ℃에서 하루밤 동안 건조된다. 상기 중합체는 수율을 측정하기 전에 진공 상태에서 실온으로 냉각되도록 놓아둔다. 최종 조성은 아세톤-d6에서 이완제(a relaxation agent)로 Cr(acac)3을 사용하여, 반전-게이트된(inverse-gated) 13C NMR에 의해 측정될 때 11:42:47이다. 수율: 5.2 g (68%). Mn: 4040 g/mol. PDI: 1.72. Tg: 172 ℃.
예 3
폴리(EATf-MA/ECPMA) (40:60) (R2)의 합성
Figure pct00008
100 mL 둥근바닥 플라스크에, 2-(트리플루오로메틸술폰아미도)에틸 메타크릴레이트 (EATf-MA) (2.0 g, 7.7 mmol, 0.4 당량), 1-에틸시클로펜틸 메타크릴레이트 (ECPMA) (2.1 g, 11.5 mmol, 0.6 당량), 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (126 mg, 0.04 당량), 및 메틸 에틸 케톤 (10 g)을 넣는다. 고무 격벽을 구비한 환류 응축기가 도입되고, 상기 용액에서 산소를 제거하는데, 세 번의 순차적인 펌프-백필 사이클에 의해서 하며, 질소를 사용하고 강하게 교반시키면서 한다. 반응 플라스크는 질소 아래 두었다가 하루밤 동안 환류하기 위해 오일 욕조로 옮겨진다. 완료 후 반응 플라스크는 실온으로 냉각되고 상기 중합체는 30배 초과 헥산 내로 침전된다. 상기 중합체는 중간 다공도의 세라믹 프릿 부흐너 깔대기를 이용하여 분리된다. 백색 중합체(white polymer)는 소량의 메틸 에틸 케톤내로 재용해되고 상기 침전 공정은 1회 반복된다. 부흐너 깔대기에서 최종 분리가 된 후, 상기 중합체는 헥산으로 1회 세정된다. 상기 중합체는 진공 80 ℃에서 하루밤 동안 건조된다. 상기 중합체는 수율을 측정하기 전에 진공 상태에서 실온으로 냉각되도록 놓아둔다. 최종 조성은 아세톤-d6에서 이완제로 Cr(acac)3을 사용하여 반전-게이트된 13C NMR에 의해 측정될 때 43:57이다. 수율: 2.7 g (66%). Mn: 6410 g/mol. PDI: 1.35. Tg: 98 ℃.
예 4
폴리(AMNB-Tf-MA/ECPMA) (40:60) (R3)의 합성
Figure pct00009
100 mL 둥근바닥 플라스크에, 5/6-((트리플루오로메틸술폰아미도)메틸) 바이시클로[2.2.1]헵탄-2-일 메타크릴레이트 (AMNB-Tf-MA) (2.0 g, 5.9 mmol, 0.4 당량), 1-에틸시클로펜틸 메타크릴레이트 (ECPMA) (1.6 g, 8.8 mmol, 0.6 당량), 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (96 mg, 0.04 당량), 및 메틸 에틸 케톤 (10 g)을 넣는다. 고무 격벽을 구비한 환류 응축기가 도입되고, 상기 용액에서 산소를 제거하는데, 세 번의 순차적인 펌프-백필 사이클에 의해서 하며, 질소를 사용하고 강하게 교반시키면서 한다. 반응 플라스크는 질소 아래 두었다가 하루밤 동안 환류하기 위해 오일 욕조로 옮겨진다. 완료 후 상기 반응 플라스크는 실온으로 냉각되고 상기 중합체는 30배 초과 헥산 내로 침전된다. 상기 중합체는 중간 다공도의 세라믹 프릿 부흐너 깔대기를 이용하여 분리된다. 백색 중합체는 소량의 메틸 에틸 케톤 내로 재용해되고 상기 침전 공정은 1회 반복된다. 부흐너 깔대기에서 최종 분리가 된 후, 상기 중합체는 헥산으로 1회 세정된다. 상기 중합체는 진공 80 ℃에서 하루밤 동안 건조된다. 상기 중합체는 수율을 측정하기 전에 진공 상태에서 실온으로 냉각되도록 놓아둔다. 최종 조성은 아세톤-d6에서 이완제로 Cr(acac)3을 사용하여 반전-게이트된 13C NMR에 의해 측정될 때 43:57이다. 수율: 2.8 g (77%). Mn: 8900 g/mol. PDI: 1.80. Tg: 106 ℃.
예 5
폴리(1-Me-EATf-MA/MADMA/NLM) (15:45:40) (R4)의 합성
Figure pct00010
100 mL 둥근바닥 플라스크에, 1-(트리플루오로메틸술폰아미도)프로판-2-일 메타크릴레이트 (1-Me-EATf-MA) (0.59 g, 2.13 mmol, 0.15 당량), 2-메틸아다만-2-틸 메타크릴레이트 (MADMA) (1.5 g, 6.4 mmol, 0.45 당량), 헥사하이드로-2-옥소-3,5-메타노-2H-시클로펜타[b]퓨란-6-일 메타크릴레이트 (NLM) (1.26 g, 5.7 mmol, 0.40 당량), 1-도데칸티올 (58 mg, 0.29 mmol, 0.02 당량), 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (93 mg, 0.04 당량), 및 메틸 에틸 케톤 (10 g)을 넣는다. 고무 격벽을 구비한 환류 응축기가 도입되고 상기 용액에서 산소가 제거되는데, 세 번의 순차적인 펌프-백필 사이클에 의해서 하며, 질소를 사용하고 강하게 교반시키면서 한다. 반응 플라스크는 질소 아래 놓아두었다가 하루밤 동안 환류하기 위해 욕조로 옮겨진다. 완료 후 반응 플라스크는 실온으로 냉각되고 상기 중합체는 30배 초과 메탄올 내로 침전된다. 상기 중합체는 중간 다공도의 세라믹 프릿 부흐너 깔대기를 이용하여 분리된다. 백색 중합체는 소량의 메틸 에틸 케톤 내로 재용해되고 상기 침전 공정이 2회 반복된다. 부흐너 깔대기에서 최종 분리가 된 후, 상기 중합체는 메탄올로 1회 세정된다. 상기 중합체는 진공 80 ℃에서 하루밤 동안 건조된다. 상기 중합체는 수율을 측정하기 전에 진공 상태에서 실온으로 냉각되도록 놓아둔다. 최종 조성은 아세톤-d6에서 이완제로 Cr(acac)3을 사용하여 반전-게이트된 13C NMR에 의해 측정될 때 10:42:48이다. 수율: 1.9 g (56%). Mn: 3380 g/mol. PDI: 1.72. Tg: 검출 안됨(none detected).
예 6
폴리(2-Me-EATf-MA/MADMA/NLM) (15:45:40) (R5)의 합성
Figure pct00011
100 mL 둥근바닥 플라스크에, 2-(트리플루오로메틸술폰아미도)프로필 메타크릴레이트 (2-Me-EATf-MA) (0.59 g, 2.13 mmol, 0.15 당량), 2-메틸아다만-2-틸 메타크릴레이트 (MADMA) (1.5 g, 6.4 mmol, 0.45 당량), 헥사하이드로-2-옥소-3,5-메타노-2H-시클로펜타[b]퓨란-6-일 메타크릴레이트 (NLM) (1.26 g, 5.7 mmol, 0.40 당량), 1-도데칸티올 (26 mg, 0.13 mmol, 0.01 당량), 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (93 mg, 0.04 당량), 및 메틸 에틸 케톤 (10 g)을 넣는다. 고무 격벽을 구비한 환류 응축기가 도입되고 상기 용액에서 산소가 제거되는데, 세 번의 순차적인 펌프-백필 사이클에 의해서 하며, 질소를 사용하고 강하게 교반시키면서 한다. 반응 플라스크는 질소 아래 놓였다가 하루밤 동안 환류하기 위해 오일 욕조로 옮겨진다. 완료 후, 반응 플라스크는 실온으로 냉각되고 상기 중합체는 30배 초과 메탄올 내로 침전된다. 상기 중합체는 중간 다공도의 세라믹 프릿 부흐너 깔대기를 이용하여 분리된다. 백색 중합체는 소량의 메틸 에틸 케톤 내로 재용해되고 상기 침전 공정이 2회 반복된다. 부흐너 깔대기에서 최종 분리가 된 후, 상기 중합체는 메탄올로 1회 세정된다. 상기 중합체는 진공 80 ℃에서 하루밤 동안 건조된다. 상기 중합체는 수율을 측정하기 전에 진공 상태에서 실온으로 냉각되도록 놓아둔다. 최종 조성은 아세톤-d6에서 이완제로 Cr(acac)3을 사용하여 반전-게이트된 13C NMR에 의해 측정될 때 14:41:45이다. 수율: 2.4 g (70%). Mn: 4603 g/mol. PDI: 1.72. Tg: 176 ℃.
예 7
폴리(1-Me-EATf-MA/ECPMA) (40:60) (R6)의 합성
Figure pct00012
100 mL 둥근바닥 플라스크에, 1-(트리플루오로메틸술폰아미도)프로판-2-일 메타크릴레이트 (1-Me-EATf-MA) (2.0 g, 7.3 mmol, 0.40 당량), 1-에틸시클로펜틸 메타크릴레이트 (ECPMA) (1.99 g, 10.9 mmol, 0.6 당량), 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (119 mg, 0.04 당량), 및 메틸 에틸 케톤 (10 g)을 넣는다. 고무 격벽을 구비한 환류 응축기가 도입되고 상기 용액에서 산소가 제거되는데, 세 번의 순차적인 펌프-백필 사이클에 의해서 하며, 질소를 사용하고 강하게 교반시키면서 한다. 반응 플라스크는 질소 아래 놓아두었다가 하루밤 동안 환류하기 위해 오일 욕조로 옮겨진다. 완료 후, 반응 플라스크는 실온으로 냉각되고 상기 중합체는 30배 초과 헥산 내로 침전된다. 상기 중합체는 중간 다공도의 세라믹 프릿 부흐너 깔대기를 이용하여 분리된다. 백색 중합체는 소량의 메틸 에틸 케톤 내로 재용해되고 상기 침전 공정이 1회 반복된다. 부흐너 깔대기에서 최종 분리가 된 후, 상기 중합체는 헥산으로 1회 세정된다. 상기 중합체는 진공 80 ℃에서 하루밤 동안 건조된다. 상기 중합체는 수율을 측정하기 전에 진공 상태에서 실온으로 냉각되도록 놓아둔다. 최종 조성은 아세톤-d6에서 이완제로 Cr(acac)3을 사용하여 반전-게이트된 13C NMR에 의해 측정될 때 44:56이다. 수율: 2.5 g (62%). Mn: 8220 g/mol. PDI: 1.48. Tg: 112 ℃.
예 8
폴리(2-Me-EATf-MA/ECPMA) (40:60) (R7)의 합성
Figure pct00013
100 mL 둥근바닥 플라스크에, 2-(트리플루오로메틸술폰아미도)프로필 메타크릴레이트 (2-Me-EATf-MA) (2.0 g, 7.3 mmol, 0.40 당량), 1-에틸시클로펜틸 메타크릴레이트 (ECPMA) (1.99 g, 10.9 mmol, 0.6 당량), 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (119 mg, 0.04 당량), 및 메틸 에틸 케톤 (10 g)을 넣는다. 고무 격벽을 구비한 환류 응축기가 도입되고 상기 용액에서 산소가 제거되는데, 세 번의 순차적인 펌프-백필 사이클에 의해서 하며, 질소를 사용하고 강하게 교반시키면서 한다. 반응 플라스크는 질소 아래 놓아두었다가 하루밤 동안 환류하기 위해 오일 욕조로 옮겨진다. 완료 후, 반응 플라스크는 실온으로 냉각되고 상기 중합체는 30배 초과 헥산 내로 침전된다. 상기 중합체는 중간 다공도의 세라믹 프릿 부흐너 깔대기를 이용하여 분리된다. 백색 중합체는 소량의 메틸 에틸 케톤 내로 재용해되고 상기 침전 공정이 1회 반복된다. 부흐너 깔대기에서 최종 분리가 된 후, 상기 중합체는 헥산으로 1회 세정된다. 상기 중합체는 진공 80 ℃에서 하루밤 동안 건조된다. 상기 중합체는 수율을 측정하기 전에 진공 상태에서 실온으로 냉각되도록 놓아둔다. 최종 조성은 아세톤-d6에서 이완제로 Cr(acac)3을 사용하여 반전-게이트된 13C NMR에 의해 측정될 때 40:60이다. 수율: 2.4 g (60%). Mn: 8260 g/mol. PDI: 1.47. Tg: 108 ℃.
예 9
폴리(2,2-diMe-EATf-MA/MADMA) (33:66) (R8)의 합성
Figure pct00014
100 mL 둥근바닥 플라스크에, 2-메틸-2-(트리플루오로메틸술폰아미도)프로필 메타크릴레이트 (2,2-diMe-EATf-MA) (1.0 g, 3.5 mmol, 0.33 당량), 2-메틸아다만-2-틸 메타크릴레이트 (MADMA) (1.6 g, 6.9 mmol, 0.66 당량), 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (68.8 mg, 0.04 당량), 및 메틸 에틸 케톤 (7.9 g)을 넣는다. 고무 격벽을 구비한 환류 응축기가 도입되고 상기 용액에서 산소가 제거되는데, 세 번의 순차적인 펌프-백필 사이클에 의해서 하며, 질소를 사용하고 강하게 교반시키면서 한다. 반응 플라스크는 질소 아래 놓아두었다가 하루밤 동안 환류하기 위해 오일 욕조로 옮겨진다. 완료 후, 반응 플라스크는 실온으로 냉각되고 상기 중합체는 30배 초과 메탄올 내로 침전된다. 상기 중합체는 중간 다공도의 세라믹 프릿 부흐너 깔대기를 이용하여 분리된다. 백색 중합체는 소량의 메틸 에틸 케톤 내로 재용해되고 상기 침전 공정이 1회 반복된다. 부흐너 깔대기에서 최종 분리가 된 후, 상기 중합체는 메탄올로 1회 세정된다. 상기 중합체는 진공 80 ℃에서 하루밤 동안 건조된다. 상기 중합체는 수율을 측정하기 전에 진공 상태에서 실온으로 냉각되도록 놓아둔다. 최종 조성은 아세톤-d6에서 이완제로 Cr(acac)3을 사용하여 반전-게이트된 13C NMR에 의해 측정될 때 34:66이다. 수율: 0.81 g (31%). Mn: 7220 g/mol. PDI: 1.51. Tg: 165 ℃.
예 10
폴리(2,2-diMe-EATf-MA/ECPMA/NLM) (15:45:40) (R9)의 합성
Figure pct00015
100 mL 둥근바닥 플라스크에, 2-메틸-2-(트리플루오로메틸술폰아미도)프로필 메타크릴레이트 (2,2-diMe-EATf-MA) (1.0 g, 3.5 mmol, 0.15 당량), 1-에틸시클로펜틸 메타크릴레이트 (ECPMA) (1.9 g, 10.4 mmol, 0.45 당량), 헥사하이드로-2-옥소-3,5-메타노-2H-시클로펜타[b]퓨란-6-일 메타크릴레이트 (NLM) (2.05g, 9.2 mmol, 0.40 당량), 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (151 mg, 0.04 당량), 및 메틸 에틸 케톤 (10 mL)을 넣는다. 고무 격벽을 구비한 환류 응축기가 도입되고 상기 용액에서 산소가 제거되는데, 세 번의 순차적인 펌프-백필 사이클에 의해서 하며, 질소를 사용하고 강하게 교반시키면서 한다. 반응 플라스크는 질소 아래 놓아두었다가 하루밤 동안 환류하기 위해 오일 욕조로 옮겨진다. 완료 후, 반응 플라스크는 실온으로 냉각되고 상기 중합체는 30배 초과 메탄올 내로 침전된다. 상기 중합체는 중간 다공도의 세라믹 프릿 부흐너 깔대기를 이용하여 분리된다. 백색 중합체는 소량의 메틸 에틸 케톤 내로 재용해되고 상기 침전 공정이 1회 반복된다. 부흐너 깔대기에서 최종 분리가 된 후, 상기 중합체는 메탄올로 1회 세정된다. 상기 중합체는 진공 80 ℃에서 하루밤 동안 건조된다. 상기 중합체는 수율을 측정하기 전에 진공 상태에서 실온으로 냉각되도록 놓아둔다. 최종 조성은 아세톤-d6에서 이완제로 Cr(acac)3을 사용하여 반전-게이트된 13C NMR에 의해 측정될 때 11:43:46이다. 수율: 3.1 g (63%). Mn: 8050 g/mol. PDI: 1.87. Tg: 156 ℃.
예 11
폴리(2,2-diMe-EATf-MA/ECPMA/HADMA) (15:45:40) (R10)의 합성
Figure pct00016
100 mL 둥근바닥 플라스크에, 2-메틸-2-(트리플루오로메틸술폰아미도)프로필 메타크릴레이트 (2,2-diMe-EATf-MA) (1.0 g, 3.5 mmol, 0.15 당량), 1-에틸시클로펜틸 메타크릴레이트 (ECPMA) (1.9 g, 10.4 mmol, 0.45 당량), 3-하이드록시아다만틸 메타크릴레이트 (HADMA) (2.18g, 9.2 mmol, 0.40 당량), 2,2'-아조비스(2-메틸프로피오니트릴) (AIBN) (151 mg, 0.04 당량), 및 메틸 에틸 케톤 (10 mL)을 넣는다. 고무 격벽을 구비한 환류 응축기가 도입되고 상기 용액에서 산소가 제거되는데, 세 번의 순차적인 펌프-백필 사이클에 의해서 하며, 질소를 사용하고 강하게 교반시키면서 한다. 반응 플라스크는 질소 아래 놓아두었다가 하루밤 동안 환류하기 위해 오일 욕조로 옮겨진다. 완료 후, 반응 플라스크는 실온으로 냉각되고 상기 중합체는 30배 초과 메탄올 내로 침전된다.
상기 중합체는 중간 다공도의 세라믹 프릿 부흐너 깔대기를 이용하여 분리된다. 백색 중합체는 소량의 메틸 에틸 케톤 내로 재용해되고 상기 침전 공정이 1회 반복된다. 부흐너 깔대기에서 최종 분리가 된 후, 상기 중합체는 메탄올로 1회 세정된다. 상기 중합체는 진공 80 ℃에서 하루밤 동안 건조된다. 상기 중합체는 수율을 측정하기 전에 진공 상태에서 실온으로 냉각되도록 놓아둔다. 최종 조성은 아세톤-d6에서 이완제로 Cr(acac)3을 사용하여 반전-게이트된 13C NMR에 의해 측정될 때 17:42:41이다. 수율: 4.3 g (84%). Mn: 6750 g/mol. PDL 2.43. Tg: > Tdecomp.
Figure pct00017
예 12
MADMA-계 레지스트들을 함유하는 레지스트들 (R1, R4 & R5)의 조제
포토레지스트 베이스 수지(photoresist base resin) (0.125 g), 트리페닐술포늄 노나플레이트(triphenylsulfonium nonaflate) (PGMEA에 담긴 20 wt% 용액 126 mg), N-페닐 벤지미다졸(N-phenyl benzimidazole) (PGMEA에 담긴 0.5 wt% 용액 432 mg), 및 용매 9.5 g (PGMEA/감마-부티로락톤, 중량으로 7:3)이 교반되고 0.2 μm PTFE 필터를 통해서 여과된다. PAG 부하: 포토레지스트 베이스 수지 대비 5 wt%. 소광제 부하(quencher loading): PAG 대비 25 mol%. 총 포토레지스트 용액 고형분 함량: ~ 5 wt%.
예 13
MADMA-계 레지스트들을 함유하는 레지스트들 (R1, R4 & R5)의 이미징
상기 포토레지스트들은 780 옹스트롬의 ARC-29A (Brewer Science)로 코팅된 5 인치 실리콘 웨이퍼들로 3000 rpm으로 30초 동안 회전분사된다(spun). 도포 후 굽기가 125 ℃에서 60초 동안 수행된다. 라인-스페이스 패턴들이 울트라테크(Ultratech)의 193 nm 미니 스텝퍼 (0.6NA, 크롬-온-글라스 마스크)를 이용하여 이미징된다. 노광된 웨이퍼들은 140 ℃에서 60초 동안 구워지고 이어서 0.26N TMAH 현상액 (Optiyield CD, 후지필름)으로 60초 동안 현상된다. 상기 웨이퍼들은 탈이온수로 세정되고 스핀 건조(spin dry)된다.
2-메틸아다만틸 메타크릴레이트에 기초한 포토레지스트들 (R1, R4, 및 R5)의 이미징 결과는 도 3에 도시된다. 모든 포토레지스트는 비교 가능한 이미징 성능을 보여준다. 특정 술폰아미드-계 단량체의 영향은 이 재료들에서 높은 비율의 MADMA와 NLM에 의해 부여된 높은 유리 전이 온도에 의해 마스크된다(masked). 술폰아미드-계 단량체가 상기 포토레지스트의 유리 전이 온도에 미치는 영향과, 그 결과 이미징 성능은 더 낮은 Tg 공단량체들 (예를 들면, ECPMA)과 상대적으로 더 높은 함량의 술폰아미드-계 단량체를 갖는 포토레지스트들 (예를 들면, 포토레지스트들 R2, R3, R6 & R7)에서 더 명백하다.
예 14
ECPMA를 함유하는 레지스트들 (R2, R3, R6 & R7)의 조제
포토레지스트 베이스 수지 (0.200 g), 트리페닐술포늄 노나플레이트 (PGMEA에 담긴 20 wt% 용액 50 mg), N-페닐 벤지미다졸 (PGMEA에 담긴 0.5 wt% 용액 173 mg), 및 용매 (PGMEA) 1.8 g이 교반되고 0.2 μm PTFE 필터로 여과된다. PAG 부하: 포토레지스트 베이스 수지 대비 5 wt%. 소광제 부하: PAG 대비 25 mol%. 총 포토레지스트 용액 고형분 함량: ~9 wt%.
예 15
ECPMA를 함유하는 레지스트들 (R2, R3, R6 & R7)의 이미징
포토레지스트들이 2000 rpm에서 30초 동안 780 옹스트롬의 ARC-29A (Brewer Science)로 코팅된 5 인치 실리콘 웨이퍼들에 회전분사된다. 110 ℃에서 60초 동안 도포 후 굽기가 수행된다. 라인-스페이스 패턴들이 울트라테크(Ultratech)의 193 nm 미니 스텝퍼 (0.6NA, 크롬-온-유리 마스크)를 이용하여 이미징된다. 노광된 웨이퍼들은 120 ℃에서 60초 동안 구워지고 이어서 60초 동안 0.26N TMAH 현상액 (옵티일드 CD, 후지필름)으로 현상된다. 상기 웨이퍼들은 탈이온수로 세정되고 나서 스핀 건조된다.
1-에틸시클로펜틸 메타크릴레이트 (ECPMA)에 기초한 포토레지스트들 (R2, R3, R6 & R7)의 이미징 결과는 도 4에 도시된다. 술폰아미드기와 선형 연결기를 가진 메타크릴레이트 단량체에 기초한 포토레지스트 R2는 광범위한 선 붕괴(extensive line collapse)를 갖는 불량 이미징 특성(poor imaging behavior)을 보인다. 이와 대조적으로, 1-Me-EATf-MA와 2-Me-EATf-MA 같은 술폰아미드기와 가지형 연결기를 갖는 메타크릴레이트 단량체들에 기초한 포토레지스트들 R6과 R7은 술폰아미드기와 환식 연결기 (AMNB-Tf-MA)에 기초한 포토레지스트 R3의 이미징 성능과 등가의 이미징 성능을 보인다.
예 16
2,2-diMe-EATf-MA를 함유하는 레지스트들 (R9-R10)의 조제
포토레지스트 베이스 수지 (0.200 g), 트리페닐술포늄 노나플레이트 (PGMEA에 담긴 20 wt% 용액 60 mg), N-페닐 벤지미다졸 (PGMEA에 담긴 0.5 wt% 용액 206 mg), 및 용매 (PGMEA/시클로헥사논, 중량으로 7:3) 2.58 g이 교반되고 0.2 μm PTFE 필터로 여과된다. PAG 부하: 포토레지스트 베이스 수지 대비 6 wt%. 소광제 부하: PAG 대비 25 mol%. 총 포토레지스트 용액 고형분 함량: ~ 7 wt%.
예 17
2,2-diMe-EATf-MA를 함유하는 레지스트들 (R9-R10)의 이미징
포토레지스트들은 3400 rpm에서 30초 동안 780 옹스트롬의 ARC-29A (Brewer Science)로 코팅된 5 인치 실리콘 웨이퍼들로 회전분사된다. 도포 후 굽기가 110 ℃에서 60초 동안 수행된다. 라인-스페이스 패턴들이 울트라테크(Ultratech)의 193 nm 미니 스텝퍼 (0.6NA, 크롬-온-유리 마스크)를 이용하여 이미징된다. 노광된 웨이퍼들은 120 ℃에서 60초 동안 구워지고 이어서 60초 동안 0.26N TMAH 현상액 (옵티일드 CD, 후지필름)으로 현상된다. 상기 웨이퍼들은 탈이온수로 세정되고 스핀건조된다.
2,2-diMe-EATf-MA에 기초한 포토레지스트들 (R8-R10)의 이미징 결과는 도 5에 도시된다.
예 18
알코올 용매 내의 포토레지스트들의 용해도
개별적으로, 4-메틸-2-펜타놀 내의 포토레지스트들 R2, R3, R6, R7, 및 R8의 5 wt% 용액들을 조제하여 0.2 μm PTFE 필터로 여과한다. 필름들이 2-인치 실리콘 웨이퍼들에 2000 rpm에서 40초 동안 스핀 캐스팅 된다(were spun cast). 캐스팅 이후에, 코팅된 웨이퍼들에 110 ℃에서 60초 동안 도포 후 굽기를 수행한다. 4-메틸-2-펜타놀에서 캐스팅될 때 모든 포토레지스트들 (R2, R3, R6, R7, 및 R8)은 매끄럽고 균일한 필름들을 형성한다.

Claims (29)

  1. 포지티브-톤 포토레지스트 조성물에서, 상기 조성물은 중합체, PAG, 및 용매(a solvent)를 포함하되, 상기 중합체는 화학식 (Ⅰ)
    Figure pct00018
    에 따른 술폰아미드기와 가지형 연결기를 갖는 제1 반복 유닛과 산 분해성 보호기(an acid-labile protecting group)에 의해 보호되는 산성기(an acidic group)를 포함하는 제2 반복 유닛을 포함하고, R1 및 R2는 수소, 불소, 메틸, 및 트리플루오로메틸로 구성되는 그룹으로부터 독립적으로 선택되며;
    R3은 수소, 할로겐, C1-C12 알킬, 및 플루오르화 C1-C12 알킬로 구성되는 그룹으로부터 선택되고;
    R4, R5, 및 R6은 수소, 불소, C1-C12 알킬, 및 플루오르화 C1-C12 로부터 독립적으로 선택되며;
    R7은 플루오르화 C1-C12 알킬이고; 그리고
    R4, R5, 및 R6 중 적어도 하나는 탄소를 포함하는
    조성물.
  2. 제1항에서, R7은 트리플루오로메틸과 퍼플루오로부틸로부터 선택되는
    조성물.
  3. 제1항에서, 상기 조성물은 화학식 (Ⅹ)
    Figure pct00019
    에 따른 반복 유닛을 포함하되,
    R24는 수소, 불소, 메틸, 및 트리플루오로메틸로 구성되는 그룹으로부터 독립적으로 선택되고; 그리고
    R25는 플루오르화 C1-C12 알킬인
    조성물.
  4. 제3항에서, R25는 트리플루오로메틸과 퍼플루오로부틸로부터 선택되는
    조성물.
  5. 제1항에서, 상기 조성물은 화학식 (ⅩⅠ)
    Figure pct00020
    에 따른 반복 유닛을 포함하되,
    R26은 수소, 불소, 메틸, 및 트리플루오로메틸로 구성되는 그룹으로부터 독립적으로 선택되고; 그리고
    R27은 플루오르화 C1-C12 알킬인
    조성물.
  6. 제5항에서, R27은 트리플루오로메틸과 퍼플루오로부틸로부터 선택되는
    조성물.
  7. 제1항에서, 상기 조성물은 화학식 (ⅩⅡ)
    Figure pct00021
    에 따른 반복 유닛을 포함하되,
    R28은 수소, 불소, 메틸, 및 트리플루오로메틸로 구성되는 그룹으로부터 독립적으로 선택되고; 그리고
    R29는 플루오르화 C1-C12 알킬인
    조성물.
  8. 제7항에서, R29는 트리플루오로메틸과 퍼플루오로부틸로부터 선택되는
    조성물.
  9. 제1항에서, 상기 조성물은 화학식 (Ⅵ)
    Figure pct00022
    에 따른 반복 유닛을 포함하되,
    R16은 수소, 불소, 메틸, 및 트리플루오로메틸로 구성되는 그룹으로부터 독립적으로 선택되고; 그리고
    R17은 플루오르화 C1-C12 알킬인
    조성물.
  10. 제9항에서, R17은 트리플루오로메틸과 퍼플루오로부틸로부터 선택되는
    조성물.
  11. 제1항에서, 상기 조성물은 화학식 (Ⅳ) 및 (Ⅶ)-(Ⅸ)
    Figure pct00023
    로 구성되는 그룹으로부터 선택되는 반복 유닛을 포함하되,
    R12, R18, R20, 및 R22는 수소, 불소, 메틸, 및 트리플루오로메틸로 구성되는 그룹으로부터 독립적으로 선택되고; 그리고
    R13, R19, R21, 및 R23은 독립 플루오르화 C1-C12 알킬(independently fluorinated C1-C12 alkyl)인
    조성물.
  12. 제11항에서, R13, R19, R21, 및 R23은 트리플루오로메틸과 퍼플루오로부틸로부터 독립적으로 선택되는
    조성물.
  13. 제1항에서, 상기 조성물은 화학식 (Ⅱ), (Ⅲ), (Ⅴ) 및 (ⅩⅢ)
    Figure pct00024
    으로 구성되는 그룹으로부터 선택되는 반복 유닛을 포함하되,
    R8, R10, R14, 및 R30은 수소, 불소, 메틸, 및 트리플루오로메틸로 구성되는 그룹으로부터 독립적으로 선택되고; 그리고
    R9, R11, R15, 및 R31은 독립 플루오르화 C1-C12 알킬인
    조성물.
  14. 제13항에서, R9, R11, R15, 및 R31은 트리플루오로메틸과 퍼플루오로부틸로부터 독립적으로 선택되는
    조성물.
  15. 제1항에서, 상기 제1 반복 유닛은 상기 중합체의 적어도 5 mol%를 구성하는
    조성물.
  16. 제1항에서, 상기 산성기는 카르복실산, 술폰아미드, 페놀, 및 플루오로알코올로 구성되는 그룹으로부터 선택되는
    조성물.
  17. 제1항에서, 상기 보호기는 3차 에스테르(tertiary esters), 오르쏘에스테르(orthoesters), 아세탈(acetals), 케탈(ketals), 카보네이트(carbonates), 실릴 에테르(silyl ethers), 및 실릴 에스테르(silyl esters)로 구성되는 그룹으로부터 선택되는
    조성물.
  18. 포지티브-톤 포토레지스트 패터닝 방법에 있어서, 상기 방법은:
    (a) 제 1항의 포지티브-톤 포토레지스트의 한 층을 기판에 도포하는 단계(applying);
    (b) 선택적으로, 상기 포지티브-톤 포토레지스트를 굽는 단계(baking);
    (c) 패턴에 따라(patternwise) 상기 포지티브-톤 포토레지스트를 노광시키는 단계(exposing);
    (d) 선택적으로, 상기 노광된 포지티브-톤 포토레지스트를 굽는 단계; 및
    (e) 상기 포지티브-톤 포토레지스트의 부분들을 선택적으로 제거하도록 상기 포지티브-톤 포토레지스트를 현상하는 단계(developing)를 포함하는
    방법.
  19. 제18항에서, 상기 기판은 패턴된 포토레지스트를 포함하는
    방법.
  20. 제18항에서, 상기 패턴에 따른 노광(the patternwise exposure)은 193 nm 물 액침 리소그래피를 사용하여 수행되는
    방법.
  21. 제18항에서, 상기 포지티브-톤 포토레지스트는 포지티브-톤 이미지를 형성하기 위해 상기 포토레지스트의 노광된 부분들을 선택적으로 제거하도록 수성 알칼리 현상액을 사용하여 현상되는
    방법.
  22. 제18항에서, 상기 포지티브-톤 포토레지스트는 포지티브-톤 이미지를 형성하기 위해 상기 포지티브-톤 포토레지스트의 노광된 부분들을 선택적으로 제거하도록 유기 용매를 사용하여 현상되는
    방법.
  23. 제18항에서, 상기 포지티브-톤 포토레지스트는 네거티브-톤 이미지를 형성하기 위해 상기 포지티브-톤 포토레지스트의 비노광된 부분들을 선택적으로 제거하도록 유기 용매를 사용하여 현상되는
    방법.
  24. 포지티브-톤 포토레지스트 패터닝 방법에 있어서, 상기 방법은:
    (a) 기판에 제 1항의 포지티브-톤 포토레지스트의 한 층을 도포하는 단계;
    (b) 선택적으로, 상기 포지티브-톤 포토레지스트를 굽는 단계;
    (c) 상기 포지티브-톤 포토레지스트상에 탑코트를 형성하도록 탑코트 재료의 한 층을 도포하는 단계;
    (d) 선택적으로, 상기 탑코트를 굽는 단계;
    (e) 패턴에 따라 상기 포지티브-톤 포토레지스트를 노광시키는 단계;
    (f) 선택적으로, 상기 노광된 포지티브-톤 포토레지스트를 굽는 단계; 및
    (g) 상기 탑코트 재료와 상기 포지티브-톤 포토레지스트의 부분들을 선택적으로 제거하도록 상기 포지티브-톤 포토레지스트를 현상하는 단계를 포함하는
    방법.
  25. 제24항에서, 상기 기판은 패턴된 포토레지스트를 포함하는
    방법.
  26. 제24항에서, 패턴에 따른 노광은 193 nm 물 액침 리소그래피를 이용하여 실행되는
    방법.
  27. 제24항에서, 상기 현상하는 단계는 포지티브-톤 이미지를 형성하기 위해 상기 탑코트와 상기 포토레지스트의 노광된 부분들을 선택적으로 제거하도록 수성 알칼리 현상액을 사용하는 것을 포함하는
    방법.
  28. 제24항에서, 상기 현상하는 단계는 포지티브-톤 이미지를 형성하기 위해 상기 탑코트와 상기 포지티브-톤 포토레지스트의 노광된 부분들을 선택적으로 제거하도록 유기 용매를 사용하는 것을 포함하는
    방법.
  29. 제24항에서, 상기 현상하는 단계는 네거티브-톤 이미지를 형성하기 위해 상기 탑코트와 상기 포지티브-톤 포토레지스트의 비노광된 부분들을 선택적으로 제거하도록 유기 용매를 사용하는 것을 포함하는
    방법.
KR1020127023388A 2010-02-19 2011-02-03 술폰아미드 함유 포토레지스트 조성물 및 사용 방법 KR101454981B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/709,346 2010-02-19
US12/709,346 US9223209B2 (en) 2010-02-19 2010-02-19 Sulfonamide-containing photoresist compositions and methods of use
PCT/EP2011/051565 WO2011101260A2 (en) 2010-02-19 2011-02-03 Sulfonamide-containing photoresist compositions and methods of use

Publications (2)

Publication Number Publication Date
KR20130004905A true KR20130004905A (ko) 2013-01-14
KR101454981B1 KR101454981B1 (ko) 2014-10-27

Family

ID=43970912

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127023388A KR101454981B1 (ko) 2010-02-19 2011-02-03 술폰아미드 함유 포토레지스트 조성물 및 사용 방법

Country Status (8)

Country Link
US (1) US9223209B2 (ko)
JP (1) JP5771224B2 (ko)
KR (1) KR101454981B1 (ko)
CN (1) CN102770808B (ko)
DE (1) DE112011100590B4 (ko)
GB (1) GB2490195B (ko)
TW (1) TWI534542B (ko)
WO (1) WO2011101260A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220149090A (ko) 2021-04-30 2022-11-08 주식회사 켐폴 반도체 제조용 재료
KR20220151331A (ko) 2021-05-06 2022-11-15 주식회사 켐폴 반도체 소자 제조용 재료
KR20220155646A (ko) 2021-05-17 2022-11-24 주식회사 켐폴 반도체 소자 제조를 위한 패턴 형성재료

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2259529B1 (en) * 1999-07-28 2019-03-27 Panasonic Intellectual Property Corporation of America Apparatus for the transmission and reception of data and method for digital radio communication
US9223217B2 (en) * 2010-02-19 2015-12-29 International Business Machines Corporation Sulfonamide-containing topcoat and photoresist additive compositions and methods of use
US9223209B2 (en) 2010-02-19 2015-12-29 International Business Machines Corporation Sulfonamide-containing photoresist compositions and methods of use
EP2511766B1 (en) * 2011-04-14 2013-07-31 Rohm and Haas Electronic Materials LLC Topcoat compositions for photoresist and immersion photolithography process using them
US9772558B2 (en) * 2013-09-24 2017-09-26 International Business Machines Corporation Sulfonic acid ester containing polymers for organic solvent based dual-tone photoresists
KR20150080443A (ko) * 2013-12-31 2015-07-09 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 포토레지스트 오버코트 조성물
US9703200B2 (en) * 2013-12-31 2017-07-11 Rohm And Haas Electronic Materials Llc Photolithographic methods
TR201806063T4 (tr) 2014-12-05 2018-06-21 Organik Kimya Sanayi Ve Ticaret A S Siklik N, O asetal bileşikleri ve bunlar kullanılarak üretilen polimerler
US9983475B2 (en) 2016-08-12 2018-05-29 International Business Machines Corporation Fluorinated sulfonate esters of aryl ketones for non-ionic photo-acid generators
US9951164B2 (en) 2016-08-12 2018-04-24 International Business Machines Corporation Non-ionic aryl ketone based polymeric photo-acid generators
JP7410943B2 (ja) 2018-11-02 2024-01-10 ブルーワー サイエンス アイ エヌ シー. Pagが固定された表面上でのボトムアップ絶縁保護コーティングおよびフォトパターニング
CN111635341A (zh) * 2020-06-16 2020-09-08 徐州博康信息化学品有限公司 一种三氟磺酰胺八氢-并环戊二烯类光刻胶树脂单体及其制备方法
CN111777532A (zh) * 2020-06-16 2020-10-16 徐州博康信息化学品有限公司 一种三氟磺酰胺环庚烷类光刻胶树脂单体及其制备方法

Family Cites Families (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3629332A (en) * 1969-01-29 1971-12-21 Minnesota Mining & Mfg N-(aralkyl)fluoroalkanesulfonamides
US3766193A (en) * 1969-01-29 1973-10-16 Minnesota Mining & Mfg N heterocyclicalkyl fluoroalkane sulfonamides
US4189323A (en) 1977-04-25 1980-02-19 Hoechst Aktiengesellschaft Radiation-sensitive copying composition
US4371605A (en) 1980-12-09 1983-02-01 E. I. Du Pont De Nemours And Company Photopolymerizable compositions containing N-hydroxyamide and N-hydroxyimide sulfonates
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
JP3116751B2 (ja) 1993-12-03 2000-12-11 ジェイエスアール株式会社 感放射線性樹脂組成物
US5580694A (en) 1994-06-27 1996-12-03 International Business Machines Corporation Photoresist composition with androstane and process for its use
JP3254572B2 (ja) * 1996-06-28 2002-02-12 バンティコ株式会社 光重合性熱硬化性樹脂組成物
US6127331A (en) * 1998-06-23 2000-10-03 The Procter & Gamble Company Laundry compositions comprising alkoxylated polyalkyleneimine dispersants
US6165678A (en) * 1997-09-12 2000-12-26 International Business Machines Corporation Lithographic photoresist composition and process for its use in the manufacture of integrated circuits
US6177228B1 (en) * 1997-09-12 2001-01-23 International Business Machines Corporation Photoresist composition and process for its use
JP3437952B2 (ja) * 2000-08-18 2003-08-18 日本ポリオレフィン株式会社 積層体及びその製造方法
US7795468B2 (en) * 2001-01-19 2010-09-14 Chevron U.S.A. Inc. Functionalized higher diamondoids
US6858700B2 (en) * 2001-01-19 2005-02-22 Chervon U.S.A. Inc. Polymerizable higher diamondoid derivatives
AU2004230844B2 (en) * 2003-03-31 2010-12-09 Wyeth Fluoro-and trifluoroalkyl-containing heterocyclic sulfonamide inhibitors of beta amyloid production and derivatives thereof
TWI296629B (en) * 2003-06-12 2008-05-11 Shinetsu Chemical Co Polymerizable ester having sulfonamide structure, polymer, resist composition and patterning process
JP4257527B2 (ja) 2003-06-12 2009-04-22 信越化学工業株式会社 化学増幅ポジ型レジスト材料及びパターン形成方法
US6949325B2 (en) * 2003-09-16 2005-09-27 International Business Machines Corporation Negative resist composition with fluorosulfonamide-containing polymer
US7063931B2 (en) * 2004-01-08 2006-06-20 International Business Machines Corporation Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
US7115771B2 (en) * 2004-03-02 2006-10-03 Central Glass Company, Limited Process for producing fluorine-containing alkylsulfonylaminoethyl α-substituted acrylate
US7473512B2 (en) * 2004-03-09 2009-01-06 Az Electronic Materials Usa Corp. Process of imaging a deep ultraviolet photoresist with a top coating and materials thereof
US20050202351A1 (en) 2004-03-09 2005-09-15 Houlihan Francis M. Process of imaging a deep ultraviolet photoresist with a top coating and materials thereof
US7335456B2 (en) * 2004-05-27 2008-02-26 International Business Machines Corporation Top coat material and use thereof in lithography processes
KR101108754B1 (ko) * 2004-09-30 2012-03-14 제이에스알 가부시끼가이샤 공중합체 및 상층막 형성 조성물
FR2884820B1 (fr) * 2005-04-25 2007-07-27 Merck Sante Soc Par Actions Si Nouveaux inhibiteurs specifiques de la caspase-10
KR101428121B1 (ko) 2005-10-27 2014-08-07 제이에스알 가부시끼가이샤 상층막 형성 조성물 및 포토레지스트 패턴 형성 방법
JP5191094B2 (ja) * 2005-11-18 2013-04-24 富士フイルム株式会社 着色硬化性組成物、カラーフィルタ、及びその製造方法。
JP4892698B2 (ja) 2006-01-18 2012-03-07 Jsr株式会社 新規樹脂及びそれを用いた感放射線性樹脂組成物
US8945808B2 (en) * 2006-04-28 2015-02-03 International Business Machines Corporation Self-topcoating resist for photolithography
JP4763511B2 (ja) 2006-05-26 2011-08-31 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
JP5076682B2 (ja) * 2006-07-26 2012-11-21 セントラル硝子株式会社 N−(ビシクロ[2,2,1]ヘプト−5−エン−2−イルメチル)−1,1,1−トリフルオロメタンスルホンアミドの製造方法
US7892816B2 (en) * 2006-09-28 2011-02-22 Colorado State University Research Foundation Electrochemical detection of substrates
TW200829578A (en) * 2006-11-23 2008-07-16 Astrazeneca Ab Chemical compounds 537
JP4554665B2 (ja) * 2006-12-25 2010-09-29 富士フイルム株式会社 パターン形成方法、該パターン形成方法に用いられる多重現像用ポジ型レジスト組成物、該パターン形成方法に用いられるネガ現像用現像液及び該パターン形成方法に用いられるネガ現像用リンス液
AR067534A1 (es) * 2007-07-16 2009-10-14 Wyeth Corp Proceso para la preparacion de trifluoroalquil - fenil sulfonamidas y de sulfonamidas heterociclicas
JP5200464B2 (ja) * 2007-09-11 2013-06-05 セントラル硝子株式会社 含フッ素アルキルスルホニルアミノエチルα−置換アクリレート類の製造方法
JP2009237379A (ja) 2008-03-27 2009-10-15 Fujifilm Corp ポジ型感光性組成物及びそれを用いたパターン形成方法
JP5658534B2 (ja) 2009-11-10 2015-01-28 住友化学株式会社 レジスト組成物
JP2011123480A (ja) * 2009-11-10 2011-06-23 Sumitomo Chemical Co Ltd レジスト組成物
US9223217B2 (en) * 2010-02-19 2015-12-29 International Business Machines Corporation Sulfonamide-containing topcoat and photoresist additive compositions and methods of use
US9223209B2 (en) 2010-02-19 2015-12-29 International Business Machines Corporation Sulfonamide-containing photoresist compositions and methods of use
JP2011253179A (ja) 2010-05-06 2011-12-15 Sumitomo Chemical Co Ltd レジスト組成物
JP2011252145A (ja) 2010-05-06 2011-12-15 Sumitomo Chemical Co Ltd 重合体及びレジスト組成物
JP2012012572A (ja) 2010-06-01 2012-01-19 Sumitomo Chemical Co Ltd 重合体及びレジスト組成物

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220149090A (ko) 2021-04-30 2022-11-08 주식회사 켐폴 반도체 제조용 재료
KR20220151331A (ko) 2021-05-06 2022-11-15 주식회사 켐폴 반도체 소자 제조용 재료
KR20220155646A (ko) 2021-05-17 2022-11-24 주식회사 켐폴 반도체 소자 제조를 위한 패턴 형성재료

Also Published As

Publication number Publication date
US20110207052A1 (en) 2011-08-25
KR101454981B1 (ko) 2014-10-27
JP2013519923A (ja) 2013-05-30
CN102770808B (zh) 2015-02-11
US9223209B2 (en) 2015-12-29
CN102770808A (zh) 2012-11-07
DE112011100590B4 (de) 2021-10-28
DE112011100590T5 (de) 2013-01-24
TWI534542B (zh) 2016-05-21
JP5771224B2 (ja) 2015-08-26
GB201203582D0 (en) 2012-04-11
TW201142512A (en) 2011-12-01
WO2011101260A2 (en) 2011-08-25
GB2490195B (en) 2016-07-13
GB2490195A (en) 2012-10-24
WO2011101260A3 (en) 2011-12-01

Similar Documents

Publication Publication Date Title
KR101454981B1 (ko) 술폰아미드 함유 포토레지스트 조성물 및 사용 방법
US9422445B2 (en) Sulfonamide-containing topcoat and photoresist additive compositions and methods of use
TWI496795B (zh) 負型色調顯影用光阻組成物及圖案形成方法
TWI422972B (zh) 光阻組成物、用於光阻組成物之樹脂、用於合成該樹脂之化合物、及使用該光阻組成物之圖案形成方法
TWI443461B (zh) 正型光阻組成物、用於正型光阻組成物之樹脂、用於合成該樹脂之化合物及使用該正型光阻組成物之圖案形成方法
KR101538329B1 (ko) 감광성 조성물, 상기 감광성 조성물을 사용한 패턴형성방법 및 상기 감광성 조성물에 사용되는 화합물
TWI438583B (zh) 圖案形成方法
TWI489211B (zh) 正型光阻材料及利用此之圖案形成方法
US7951524B2 (en) Self-topcoating photoresist for photolithography
TWI438572B (zh) 光阻組成物及使用它之圖案形成方法
JP4036773B2 (ja) ポリマー混合物ならびに関連する調製法および使用法
JP2006504827A (ja) 新規なコポリマー、そのフォトレジスト組成物およびその深紫外線二層システム
US20100203450A1 (en) Photoresist compositions and methods of use
KR20170010854A (ko) 네거티브-톤 레지스트 조성물 및 다기능 폴리머들
TWI396943B (zh) 正型光阻組成物及使用它之圖案形成方法
EP2310910B1 (en) Ultra low post exposure bake photoresist materials
KR101848656B1 (ko) 오버코트 조성물 및 포토리소그래피 방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170927

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180928

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190927

Year of fee payment: 6