KR20120120454A - 에칭을 위한 방법 및 장치 - Google Patents

에칭을 위한 방법 및 장치 Download PDF

Info

Publication number
KR20120120454A
KR20120120454A KR1020127024405A KR20127024405A KR20120120454A KR 20120120454 A KR20120120454 A KR 20120120454A KR 1020127024405 A KR1020127024405 A KR 1020127024405A KR 20127024405 A KR20127024405 A KR 20127024405A KR 20120120454 A KR20120120454 A KR 20120120454A
Authority
KR
South Korea
Prior art keywords
etching
gas
etch
substep
substrate
Prior art date
Application number
KR1020127024405A
Other languages
English (en)
Other versions
KR101795658B1 (ko
Inventor
아란 체셔
스탠리 데트마
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20120120454A publication Critical patent/KR20120120454A/ko
Application granted granted Critical
Publication of KR101795658B1 publication Critical patent/KR101795658B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

본 발명의 실시예들은 기판 에칭 방법 및 장치와 관련된 것이다. 일 실시예에서, 기판과 기판 지지 조립체 사이에 후면 공정 가스를 유동시키는 단계, 및 기판 상의 층을 순환식으로 에칭하는 단계를 포함하는 플라즈마 에칭 반응기 내에서 기판을 에칭하기 위한 방법이 제공된다.

Description

에칭을 위한 방법 및 장치{METHOD AND APPARATUS FOR ETCHING}
본 발명의 실시예들은 대체로 에칭을 위한 방법 및 장치와 관련된 것으로서, 보다 상세하게는, 마이크로 전자기계 시스템(MEMS) 디바이스 등을 에칭하는데 적절한 방법 및 장치와 관련된 것이다.
마이크로 전자기계 시스템(MEMS) 디바이스에 대한 요구는 처리 장비 회사에 대해 새로운 시도를 야기하였다. 하나의 시도는 MEMS 구조물을 제조하는데 이용되는 물질의 효율적인 플라즈마 에칭에 적절한 장비를 제공하는 것이었다. 예를 들어, 에칭을 위해 이용되는 처리 장비는 상업적으로 실용적인 스케일로 MEMS 구조물을 성공적으로 제조하기 위해 우수한 임계적 치수 제어 및 마스크 선택성(selectivity)을 유지할 수 있어야 한다. 추가적으로, 광학 디바이스를 위해 요구되는 MEMS 구조물을 위해서는, 성능 목표를 획득하는 것을 방해하지 않도록 처리 장비가 충분히 매끄러운(smooth) 측벽을 생성하여야 한다.
실리콘은 MEMS 구조물을 위해 일반적으로 사용되는 물질이다. MEMS 제조를 위한 실리콘 에칭은 대체로 반응성 이온 에칭(RIE) 반응기에서 수행된다. 일반적인 RIE 반응기는 대체로 제한된 작은 플라즈마 생성 영역을 가지며 제한된 전력 용량(power capability)을 가진다. 이는 대면적 기판 형에서 우수한 에칭 균일성을 달성하기 어렵게 하며, 에칭 속도을 제한하기도 한다. 더욱이, RIE 반응기는 대체로 기판의 에지보다 중앙에서 더 빠르게 에칭하는데, 이는 잠재적인 생산 수율 및 품질을 제한한다.
몇몇 RIE 에칭 반응기는 에칭 및 증착, 또는 에칭, 플래시(flash) 및 증착 같은 여러 레시피 단계를 포함하는 순환식(cyclical) 에칭 공정을 채용하고 있다. 순환식 에칭 공정은 연속적으로 식각액 및 증착 종들(species)을 제공하기 위해 보쉬(Bosch) 시스템 또는 시간 다중 가스 조절(time multiplexed gas modulation)("TMGM") 시스템을 이용할 수 있다. 증착 종들은 이전에 에칭된 표면 상에 보호 필름을 제공하여 추가 에칭으로부터 표면, 대체로 트렌치의 측벽을 보호한다. 이러한 두 개의 단계는 더욱더 깊게 트렌치가 형성됨에 따라 반복된다. 순환식 에칭 공정의 불충분한 제어는 측벽의 거칠기를 불리하게 증가시키며, 이는 결함 있는 미세전자 소자가 되도록 할 수 있다.
이에 따라, 에칭을 위한 개선된 방법 및 장치에 대한 요구가 존재한다.
본 발명의 실시예들은 대체로 기판을 에칭하는 방법 및 장치와 관련된 것이다. 일 실시예에서, 플라즈마 에칭 반응기 내에서 기판의 에칭을 위한 방법은 후면 공정 가스를 기판과 기판 지지 조립체 사이로 유동시키는 단계 및, 순환식으로 기판 상의 층을 에칭하는 단계를 포함한다.
또 다른 실시예에서, 기판 상의 타겟 층을 순환식으로 에칭하는 단계, 에칭되는 피처의 현재 종횡비에 대응하여 순환식 에칭 동안 레시피 변수를 조절하는 단계를 포함하는, 플라즈마 에칭 반응기 내의 기판을 에칭하기 위한 방법이 제공된다.
또 다른 실시예에서, 챔버 바디, 기판 지지 조립체, 천장 및 상호교환식 스페이서를 포함하는 플라즈마 에칭 반응기가 제공된다. 기판 지지 조립체는 챔버 바디의 처리 용적 내에 있다. 천장은 챔버 바디 상에 배치되며 처리 용적을 커버한다. 상호교환식 스페이서는 천장 및 챔버 바디 사이에 배치된다. 상호교환식 스페이서는 기판 지지 조립체에 대한 천장의 경사도 및 높이 중 하나 이상을 세팅하는 다수의 상호교환식 스페이서로부터 선택된다.
또 다른 실시예에서, 챔버 바디, 기판 지지 조립체, 천장 및 배플 플레이트를 포함하는 플라즈마 에칭 반응기가 제공된다. 챔버 바디는 펌핑 도관을 가진다. 기판 지지 조립체는 챔버 바디의 처리 용적 내에 배치된다. 천장은 챔버 바디 상에 배치되며 처리 용적을 커버한다. 배플 플레이트는, 펌핑 도관 내에 배치되며, 가스가 배플 플레이트를 통하여 그리고 펌핑 도관 아래로 지나가도록 하는 다수의 홀을 구비한다.
본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
도 1은 기판 에칭 반응기의 일 실시예의 개략 단면도이다.
도 2a는 본 발명의 일 실시예에 따른 신속 가스 교환 시스템을 나타내고 있다.
도 2b는 본 발명의 일 실시예에 따른 또 다른 신속 가스 교환 시스템을 나타내고 있다.
도 3은 기판 지지 조립체의 일 실시예의 부분적인 개략 단면도이다.
도 4a 내지 4c는 다른 스페이서를 도시하는 기판 에칭 반응기의 다양한 부분적 측면도이다.
도 5a 내지 5e는 스페이서의 대안적인 실시예의 하부도이다.
도 6은 배플 플레이트의 일 실시예의 단면도이다.
도 7은 도 6의 배플 플레이트의 후방의 입면도이다.
도 8은 배플 플레이트의 일 실시예의 단면도이다.
도 9는 도 8의 배플 플레이트의 후방의 입면도이다.
도 10은 에칭 공정의 일 실시예에 관한 순서도이다.
도 11은 에칭 공정의 다른 실시예에 관한 순서도이다.
이해를 돕기 위하여, 가능한 곳에는, 도면에 공통적인 동일한 구성요소를 가리키기 위하여 동일한 참조 번호가 사용되었다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 일 실시예들을 도시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
본 발명은 대체로 에칭을 위한 장치 및 방법과 관련된 것이다. 비록 본 명세서에서 설명되는 장치 및 방법이 MEMS 어플리케이션을 위한 실리콘 에칭에 특히 유익하다고 하더라도, 본 발명의 실시예들은 실리콘 에칭에 이용되는 것으로 제한되지 아니하며 다른 형태 물질을 에칭하는데 유리하게 이용될 수 있고 및/또는 다른 에칭 반응기에서 유리하게 이용될 수 있다. 본 발명의 장치 및 그의 이용 방법의 신규성을 더 잘 이해하기 위하여, 지금부터 첨부된 도면을 참조하기로 한다.
도 1은 에칭 반응기(100)의 일 실시예의 단면도이다. 에칭 반응기(100)는 하부 챔버 바디(102), 상부 챔버 바디(104) 및 처리 용적(108)을 둘러싸는 천장(106)을 포함한다. 천장(106)은 평평하거나 다른 기하학적 구조를 가질 수 있다. 일 실시예에서, 천장(106)은 돔(dome)이다. 아래에서 더 설명되는 바와 같이 상부 챔버 바디(104)에 대한 천장의 높이 및/또는 경사도가 선택적으로 변화될 수 있도록, 상호교환식 스페이서(110)가 천장(106)과 상부 챔버 바디(104) 사이에 제공된다.
RF 코일(112)은 천장(106) 상부에 배치되며 매칭 회로(116)를 통해 RF 소스(114)에 커플링된다. 천장(106)은 RF 전력에 대해 투과성이 있어, 코일(112)에 인가되는 전력은 반응기(100)의 처리 용적(108) 내에 배치되는 가스에 유도적으로 커플링되고 에너지를 공급하여 플라즈마(170)를 유지할 수 있다. 통상적으로, 코일(112)에 인가되는 전력은 소스 전력으로 알려져 있다.
소스 전력은 약 10 와트 내지 약 5000 와트 범위 내의 전력에서 약 12 Mhz 내지 약 13.5 MHz 범위 내의 무선 주파수로 공급될 수 있다. 소스 전력은 펄스형일 수 있다.
상부 챔버 바디(104)는 트로틀 밸브(122)를 통해 펌프(120)에 반응기(100)의 처리 용적(108)을 연결시키는 펌핑 채널(118)을 포함한다. 펌프(120) 및 트로틀 밸브(122)는 반응기(100)의 처리 용적(108) 내의 압력을 제어하도록 작동될 수 있다. 펌프(120)는 또한 에칭 부산물을 제거한다. 배플 플레이트(180)는 펌프(120)의 오염을 최소화하기 위해 펌핑 채널(118) 내에 배치된다.
반응기(100)는 자신에 커플링된 신속 가스 교환 시스템(124)을 구비하는데, 신속 교환 시스템(124)은 상부 챔버 바디(104)의 내부 주위에 또는 다른 적절한 지점에 위치되는 노즐(126)을 통해 처리 용적(108)으로 공정 및/또는 다른 가스를 공급한다. 신속 가스 교환 시스템(124)은 선택적으로 임의의 단일 가스 또는 가스의 조합이 처리 용적(108)으로 제공되도록 한다. 일 실시예에서, 신속 가스 교환 시스템(124)은 각각이 서로 다른 가스 소스에 커플링되는 세 개의 전달 라인(128)을 가진다. 전달 라인(128)은 동일하거나 다른 노즐(126)에 커플링될 수 있다.
도 1에 도시된 실시예에서, 각 전달 라인(128)은 제1 밸브(130), 질량 유량 계측기(132) 및 제2 밸브(134)를 포함한다. 제2 밸브(134)는 노즐(126)에 커플링되는 공동 티(common tee; 138)에 커플링된다. 질량 유량 계측기(132)로부터 내부 용적으로 가스가 흐르는 도관의 길이는 약 2.5 m 이하이며, 그 결과 가스 사이의 더 신속한 절환 시간을 가능하게 한다. 신속 가스 교환 시스템(124)은 티(138) 및 노즐(126) 사이에 배치되는 분리 밸브(136)에 의해 반응기(100)의 처리 용적(108)으로부터 분리될 수 있다.
잔류 가스가 반응기(100)에 들어오는 일 없이 신속 가스 교환 시스템(124)으로부터 정화되도록 하기 위해, 배기 도관(166)은 분리 밸브(136)와 티(138) 사이에 커플링된다. 가스가 반응기(100)의 처리 용적(108)으로 전달될 때에 배기 도관(166)을 폐쇄하기 위해 차단 밸브(164)가 제공된다.
도 2a 및 도 2b는 신속 가스 교환 시스템의 대안적인 예를 도시하고 있다. 도 2a의 실시예에서, 신속 가스 교환기(260)은 제1 유동 제어부(262)를 갖는 제1 가스 패널(261) 및 제2 유동 제어부(264)를 갖는 제2 가스 패널(263), 제1 전달 도관(268) 및 제2 전달 도관(269)으로 가스를 향하게 하는 다수의 유동 제한 장치(265)와 밸브(266), 및 가스를 덤핑하기(dumping) 위한 출구(267)를 포함한다. 제1 전달 도관(268) 및 제2 전달 도관(269)은 반응기(100)의 동일한 및/또는 다른 노즐(126)에 커플링된다. 구체적으로, 네 개의 유동 제한 장치(265) 및 8 개의 밸브(266)가 도 2a에 도시되고 있는데, 유동 제한 장치(265) 및 밸브(266)의 개수는 변할 수 있다. 신속 가스 교환기(260)는 제1 에칭 단계 및 제2 에칭 단계 동안 제1 가스 패널(261)로부터 반응기(100)로 육불화황(sulfur hexafluoride)(SF6) 같은 제1 가스를 공급하며, 또한 증착 단계 동안 제2 가스 패널(263)로부터 반응기(100)로 퍼플루오로싸이클로부탄(perfluorocyclobutane)(C4F8) 같은 제2 가스를 공급한다. 일 예에서, 제1 가스 패널(261) 및 제2 가스 패널(263)은 약 1000 sccm으로 SF6 및 C4F8을, 약 500 sccm으로 헬륨을, 약 200 sccm으로 아르곤 및 산소(O2)를 전달하도록 작동할 수 있다. 대안적인 실시예에서, 신속 가스 교환기(260)는 아르곤 같은 플라즈마 지지(sustaining) 가스로 구성되며 반응기(100)로 가스를 연속적으로 전달하도록 작동되는 제3 가스 패널을 더 포함할 수 있다.
작동 시에, 가스가 제1 가스 패널(261)로부터 처리 용적(108)으로 공급될 때에, 제1 유동 제어부(262)는 제1 전달 도관(268), 제2 전달 도관(269), 또는 이 둘 모두로 가스를 향하게 할 수 있다. 유동 제한 장치(265)는 가스가 제1 가스 패널(261)로 다시 들어가는 것을 방지할 수 있다. 가스가 반응기(100)로 공급될 때에, 밸브(266)는 반응기(100)로의 유동 경로를 개방하고 출구(267)로의 유동 경로를 폐쇄하도록 작동될 수 있다. 에칭 사이클이 단계를 전환할 때에, 제2 가스 패널(263)로부터의 가스는 제1 가스 패널(261)과 유사한 방식으로 반응기(100)에 공급될 수 있다. 제2 가스 패널(263)로부터의 가스가 반응기(100)로 공급될 때에, 유동 라인 내에 가스를 덤핑하기 위하여, 밸브(266)는 제1 가스 패널로부터 반응기(100)로의 유동 경로를 차단하고 출구(267)로의 유동 경로를 개방하도록 작동될 수 있다. 일 예에서, 가스는 증착 단계 동안 제1 가스 패널(261)로부터 반응기(100)로 공급될 수 있으며, 에칭 단계 동안 제2 가스 패널(263)로부터 반응기(100)로 공급될 수 있다. 가스 패널(261)과 가스 패널(263) 모두는 증착 및 에칭 단계 모두를 위해 이용될 수 있다. 대안적인 실시예에서, 제3 가스 패널이 증착 및 에칭 단계 모두 동안 아르곤 같은 플라즈마 유지 가스를 반응기(100)에 연속적으로 공급하는데 이용될 수 있다.
도 2b의 실시예에서, 신속 가스 교환기(300)는 반응기(100)의 처리 용적(108) 내로 가스를 향하게 하기 위해 제1 유동 제어부(340), 제2 유동 제어부(345) 및 제3 유동 제어부(347)를 갖는 제1 가스 패널(320), 제1 배기부(360) 및/또는 제2 배기부(370)를 포함한다. 제1 가스 패널(320)은 육불화항, 산소, 아르곤, 트리플루오르메탄(CHF3) 및/또는 헬륨을 포함하는 다수의 가스(322)를 포함할 수 있으나, 가스의 종류는 이에 제한되지 아니한다. 유동 제어부(340, 345, 347) 각각은 배기부(360 및 370) 및/또는 반응기(100)의 처리 용적(108)으로 가스를 향하게 하는 유동 제어 밸브를 포함할 수 있다. 유동 제어 밸브는 신속한 반응이 가능하게 하는 공압식 작동을 포함할 수 있으며 다수의 유동 구조를 제공할 수 있다. 추가로, 유동 제어부(340, 345 및 347)는 밸브의 작동을 모니터링하고 제어하기 위한 작동 시스템과 통신할 수 있다. 유동 제한 장치(346 및 348)는 제1 배기부(360) 및/또는 반응기(100)의 처리 용적(108)으로의 유동을 제한하는 제3 유동 제어부(347)와 커플링될 수 있다.
일 실시예에서, 제1 유동 제어부(340)는 제1 배기부(360) 및/또는 제2 유동 제어부(345)로 가스를 향하게 하도록 구성될 수 있다. 제2 유동 제어부(345)는 반응기(100)의 처리 용적(108) 및/또는 제3 유동 제어부(347)로 가스를 향하게 하도록 구성될 수 있다. 제3 유동 제어부(347)는 유동 제한 장치(348)를 통해 제2 배기부(370)로 및/또는 유동 제한 장치(346)를 통해 챔버(200)로 가스를 향하게 하도록 구성될 수 있다.
신속 가스 교환기(300)는 또한 반응기(100)의 처리 용적(108) 내로 가스를 향하게 하기 위해 제1 유동 제어부(350), 제2 유동 제어부(355) 및 제3 유동 제어부(357)를 갖는 제2 가스 패널(330), 제1 배기부(360) 및/또는 제2 배기부(370)를 포함할 수 있다. 제2 가스 패널(330)은 퍼플루오로싸이클로 부탄, 산소, 아르곤, 트리플루오르메탄 및/또는 헬륨을 포함하는 다수의 가스(332)를 포함할 수 있으나, 가스의 종류는 이에 제한되지 아니한다. 유동 제어부(350, 355 및 357) 각각은 배기부(360 및 370) 및/또는 반응기(100)의 처리 용적(108)으로 가스를 향하게 하는 유동 제어 밸브를 포함할 수 있다. 유동 제어 밸브는 신속한 반응이 가능하게 하는 공압식 작동을 포함할 수 있으며 다수의 유동 구조를 제공할 수 있다. 추가로, 유동 제어부(350, 355 및 357)는 밸브의 작동을 모니터링하고 제어하기 위한 작동 시스템과 통신할 수 있다. 유동 제한 장치(356 및 358)는 제2 배기부(370) 및/또는 반응기(100)의 처리 용적(108)으로의 유동을 제한하는 제3 유동 제어부(347)와 커플링될 수 있다.
일 실시예에서, 제1 유동 제어부(350)는 제1 배기부(360) 및/또는 제2 유동 제어부(355)로 가스를 향하게 하도록 구성될 수 있다. 제2 유동 제어부(355)는 반응기(100)의 처리 용적(108) 및/또는 제3 유동 제어부(357)로 가스를 향하게 하도록 구성될 수 있다. 제3 유동 제어부(357)는 유동 제한 장치(358)를 통해 제2 배기부(370)로 및/또는 유동 제한 장치(356)를 통해 반응기(100)의 처리 용적(108)으로 가스를 향하게 하도록 구성될 수 있다.
작동 시에, 평행한 가스 라인(325 및 335)은, 유동 제어부(345, 347 및 355, 357), 특히 유동 제한장치(346 및 356)와 같이 신속한 가스 전환을 가능하게 하는 일련의 유동 제어부 및 제한 장치를 통해 반응기(100)의 처리 용적(108)에 독립적으로 가스를 전달하도록 구성될 수 있다. 유동 제한 장치(346 및 356)를 통해 관찰되는 임의의 가스 지연을 제거하기 위하여, 가스 라인(325 및 335)은 반응기(100)의 처리 용적(108)으로 독립적이게 및/또는 직접 가스를 신속하게 전달하도록 작동될 수도 있다.
선택적인 실시예에서, 가스 라인(325 및 335)은 반응기(100)에 들어가기 이전에 서로 결속할(tie-in) 수 있다. 다수의 가스 전달 방식 및 가스 형태가 신속 가스 교환기(300)에 공급될 수 있다. 일 실시예에서, 제1 가스(또는 가스의 조합)는 예를 들어 가스 라인(325)을 통해 반응기(100)의 처리 용적(108) 내로 바로 전달될 수 있으며, 제2 가스(또는 가스의 조합)는 제어된 전달 선택을 가능케 하기 위해 가스 라인(335)의 유동 제한 장치(356)를 통하여 펄싱될(pulsed) 수 있다. 신속 가스 교환기(300) 내의 밸브 각각은 가스 라인(325 및 335)을 통해 전달되는 가스의 후방 확산을 방지하도록 점검 밸브를 포함할 수 있다. 유동 제어부(340 및 350)는 배기부(360)와 소통하는 덤핑 전방 라인(foreline)을 통해 가스를 향하게 하도록 작동될 수 있다. 유동 제어부(347, 357)는 배기부(370)와 소통하는 TGV 위의 덤핑 라인을 통해, 가스를 향하게 하도록 작동할 수 있다.
일 실시예에서, 신속 가스 교환부(300)는 가스 라인(325 및 335) 중 하나 또는 모두와 소통하는 선택적 가스 라인(386)을 포함할 수 있다. 가스 라인(386)은 선택적 유동 제어부(384) 및/또는 선택적 유동 제한 장치(382)를 포함할 수 있다. 가스 라인(386)은 가스 라인으로부터 가스를 덤핑하기 위하여 가스가 배기부(380)로 향하게끔 작동될 수 있다.
도 1을 다시 참조하면, 기판 지지 조립체(140)는 반응기(100)의 처리 용적(108) 내에 배치된다. 기판 지지 조립체(140)는 열적 분리기(144) 상에 장착되는 정전 척(142)을 포함한다. 열적 분리기(144)는 하부 챔버 바디(102)의 바닥 상부에서 정전 척(142)을 지지하는 스템(172)으로부터 정전 척(142)을 격리시킨다(insulates).
리프트 핀(146)은 기판 지지 조립체(140)를 관통해 배치된다. 리프트 플레이트(148)는, 기판 지지 조립체(140) 아래에 배치되며, 리프트(155)에 의해 움직여져 선택적으로 리프트 핀(146)을 변위시켜 기판(150)을 정전 척(142)의 상부 표면(152) 상에 배치 및/또는 들어올릴 수 있다.
정전 척(142)은 정전 척(142)의 상부 표면(152)에 기판(150)을 정전기적으로 보유하기 위해 에너지가 가해지는 하나 이상의 전극(도시되지 않음)을 포함한다. 정전 척(142)의 전극은 매칭 회로(158)를 통해 바이어스 전력 소스(156)에 커플링된다. 바이어스 전력 소스(156)는 에칭 동안 이온의 방향성을 제어하기 위하여 정전 척(142)의 전극에 선택적으로 에너지를 가할 수 있다.
바이어스 전력은 펄스형일 수 있는데, 예를 들어, 소스 전력이 계속적으로 인가되는 동안, 일 기간에서 반복적으로 에너지를 수집하거나 저장하고 이후에 다른 기간에서 에너지를 급속하게 방출(release)시켜 증가된 순간적인 전력량을 전달한다. 특히, 바이어스 전력은, "듀티 사이클(duty cycle)"로 불리우는, 전력이 가동되는 시간 비율을 제공하기 위해 제어 시스템에 의해 세팅되는 발전기 펄싱 용량을 이용하여 펄싱될 수 있다. 일 실시예에서, 펄스 바이어스 전력의 가동 시간 및 비-가동 시간은 에칭 사이클 내내 균일할 수 있다. 예를 들어, 전력이 약 3 msec 동안 가동되고 약 15 msec 동안 비-가동된다면, 듀티 사이클은 약 16.67%이다. 초당 사이클 또는 헤르츠(Hz)인 펄싱 주파수는, 초 단위의 가동 및 비-가동 시간 기간의 합계로 1을 나눈 것과 같다. 예를 들어, 바이어스 전력이 총 합계 약 18 msec 동안, 약 3 msec 동안 가동되고 약 15 msec 동안 비-가동된다면, 초당 사이클인 펄싱 주파수는 약 55.55 Hz이다. 일 실시예에서, 에칭 사이클 동안 가동/비-가동 시간이 변화하는 특수화된 펄싱 프로파일이 이용될 수 있다. 일 실시예에서, 기판에 인가되는 바이어스 전력을 변화시킴으로써, 에칭 사이클은 증착 및/또는 에칭 단계 사이에서 전환될(switch) 수 있다. 트렌치 측벽의 스캘러핑(scalloping)을 감소시키고, 레지스트 선택성을 개선하며, 에칭 속도을 개선하고, 물질 계면 언더컷(undercut)을 방지하는 데 도움이 되도록 바이어스 전력이 펄싱될 수 있다.
도 3을 추가로 참조하면, 후면 가스 소스(160)는 하나 이상의 가스를 기판(150)과 정전 척(142)의 상부 표면(152) 사이로 정의되는 공간(302)에 공급하기 위하여 기판 지지 조립체(140)를 통해 커플링된다. 후면 가스 소스(160)에 의해 공급되는 가스는 He 및/또는 후면 공정 가스를 포함할 수 있다. 후면 공정 가스는, 공정 가스, 에칭 부산물, 기판 상에 배치되는 마스크 또는 다른 층들, 에칭 동안 타겟팅된(targeted) 물질과 같은 챔버내의 물질과 반응함으로써 에칭 사이클 동안 폴리머화 또는 에칭 속도에 영향을 미치는 기판 지지부와 기판 사이에서부터 전달되는 가스이다. 일 실시예에서, 후면 공정 가스는 O2 같은 산소 함유 가스이다. 일 실시예에서, 실리콘 에칭 적용 동안 부피 또는 질량으로 후면 가스 내에서의 He 대 O2의 비율은 약 50:50 내지 약 70:30이다. 다른 후면 공정 가스가 기판 에지 부근의 공정을 제어하기 위해 이용될 수 있다고 생각된다. 또한, 아래에서 설명되는 바와 같이 순환식 에칭 공정뿐만 아니라 단일 단계 에칭 공정을 위해서도 후면 공정 가스의 사용이 유익하게 이용될 수 있다고 생각된다. 더욱이, 기판의 에지 아래에서부터 후면 공정 가스를 제공하는 것은, 노즐(126)을 통해 전달되는 가스를 이용하여 후면 공정 가스를 제공하는 것과는 달리, 에칭 공정의 보다 타겟화된 제어를 제공한다.
후면 가스 소스(160)에 의해 공급되는 공정 가스가 기판(150)의 에지에 이를 수 있도록, 기판(150)의 에지 아래로부터의 후면 가스 누출(leakage) 속도는 종래의 후면 가스 시스템의 그것에 비해 더 높다. 일 실시예에서, 누출 속도는 기판(150)과 정전 척(142)의 상부 표면(152) 사이의 공간(302) 내의 가스 압력을 약 4 내지 26 Torr로 유지함으로써 상승된다. 다른 실시예에서, 압력은 약 10 내지 22 Torr 사이로 유지된다. 또 다른 실시예에서, 압력은 약 14 내지 20 Torr 사이로 유지된다. 이러한 누출 속도는 또한 정전 척(142)의 상부 표면(152) 및 기판(150)을 지지하는 립(306) 내에서 노치(304 : 점선으로 도시됨) 또는 다른 형태를 제공함으로써 달성될 수 있다고 생각된다.
도 4a 내지 4c는 스페이서(110)의 다른 실시예를 도시하는 기판 에칭 반응기(100)의 다양한 부분 측면도이다. 앞서 설명된 바와 같이, 스페이서(110)는 기판 지지 조립체(140) 내에 배치되는 전극(162)에 대한, 천장(106)과 천장(106) 위에 배치되는 코일(112)의, 거리와 경사도를 변화시키기 위해 이용된다. 스페이서(110)는 알루미늄으로 제조될 수 있다. 스페이서(110)의 내부 표면은 플라즈마 저항을 위해 양극 산화될 수 있다. 스페이서(110)는 (다른 스페이서와) 적층 가능하며, 기존의 반응기에 개장(retrofit)될 수 있다.
도 4a에 도시된 실시예에서, 스페이서(1101)는 코일(112)을 전극(162)으로부터 거리 d1으로 세팅하기 위해 이용된다. 스페이서(1101)의 상부 및 하부 표면은 코일(112)의 중심선이 기판 지지부의 중심선(404)과 동심을 이루도록 서로 평행하다.
도 4b에 도시된 실시예에서, 스페이서(1102)는 도 4a의 스페이서(1101)의 높이 H1과는 다른 높이 H2를 가지도록 제공된다. 이는 도 4a에 도시된 거리 d1과는 다른 도 4b의 전극(162)과 코일(112) 사이 거리 d2를 야기한다. 일 실시예에서, d1과 d2 사이의 차는 약 3cm 또는 이의 배수이다.
증가된 높이 (d)가 더 긴 평균 자유 행정을 제공함으로써 이온 충돌을 증가시키며, 이는 차례로 에칭 사이클 동안 더 효율적인 폴리머 제거를 가능하게 하고 에칭 속도를 증가시킨다고 믿어진다. 또 다른 이점은 소스 코일이 기판으로부터 더 멀리 위치될 것이라는 것인데, 이는 이론적으로 더 우수한 균일성을 제공한다고 믿어진다. 최대 높이는 반응기의 상부와 반응기 상부의 장비 사이의 여유 공간(clearance)에 의해 결정되는데, 이는 하나의 경우에서 대략 11cm였다. 따라서, 높이 (d)는 서로 다른 에칭 적용에 도움을 주는 방향으로 선택될 수 있다.
도 4c에 도시된 실시예에서, 스페이서(1103)가 이용된다. 스페이서(1103)는 상부 챔버 바디(104; 도시되지 않음) 위에 위치되는 스페이서의 하부 표면(408)에 대해 소정의 각도(410)로 배향된(orientated) 상부 표면(406)을 가진다. 이는 전극(162)에 대한 코일(112)의 경사도 변화를 유발한다. 이는 코일(112)의 중심선(402)과 기판 지지 조립체(140)의 중심선(404) 사이에서 정의되는 각(412)으로 도시되고 있다.
전극(162)에 대해 미리 정의된 배향으로 코일(112)을 위치시키도록 선택된 스페이서를 이용함으로써, 플라즈마(170)의 특성, 위치 및/또는 성질은 에칭 결과를 조절하기 위해 변경될 수 있다. 예를 들어, 펌프(120)의 위치에 의해 유발되는 펌핑 비대칭 또는 슬릿 밸브 위치에 의해 유발되는 비-균일 전기적 특성 같은 챔버 비대칭을 보상하기 위해, 경사도는 0 보다 더 큰 각(412)으로 선택될 수 있다.
스페이서는 또한 다른 방식으로 플라즈마 특성을 조절하기 위해 이용될 수 있다. 예를 들어, 스페이서의 물질은 처리 결과에 영향을 미치도록 선택될 수 있다. 추가로, 스페이서의 내부 지름은 처리 결과에 영향을 미치는 특정 기하학 구조를 가지도록 선택될 수 있다.
도 5a 내지 5e는 다른 내부 지름 프로파일을 가지는 스페이스의 대안적인 예들을 도시하고 있다. 도 5a에 도시된 실시예에서, 스페이서(110)가 도시되고 있다. 스페이서(110)는 내부 지름(504) 및 외부 지름(502)을 포함한다. 내부 지름(504) 및 외부 지름(502)은 서로 동심을 이룬다.
도 5b에 도시된 실시예에서, 외부 지름(502)과 동심을 이루지 아니하는 내부 지름(504)을 가지는 스페이서(510)가 도시되고 있다. 이는 다른 영역(506)에 비해 일 영역(508) 내에서 더 큰 질량을 가지는 스페이서(510)를 유발한다. 에칭 결과의 비대칭을 생성하기 위해 및/또는 보상하기 위해 영역(506, 504)이 펌프(120)로 통하는 통로 및/또는 슬릿 밸브 도어에 대해 위치되도록, 스페이서(510)가 배향될 수 있다.
도 5c에 도시된 실시예에서, 내부 지름(504) 및 외부 지름(502)을 가지는 스페이서(520)가 도시되고 있다. 내부 지름(504)은 외부 지름(502)과 실질적으로 동심을 이룬다. 내부 지름(504)이 외부 지름(502)과 비-동심을 이루는 것 또한 고려될 수 있다. 내부 지름(504)은 하나 이상의 돌출부(512)를 가지는데, 이들은 거기서부터 스페이서(502)의 중심 쪽으로 연장한다. 돌출부(512)는 스페이서(520)의 다른 영역(516)보다 더 큰 질량을 가지는 영역(514)을 생성한다. 위에서 논의된 바와 같이, 원하는 에칭 효과를 생성하기 위해 더 큰 질량을 가지는 영역(514)은 펌프로 통하는 통로 및/또는 슬릿 밸브에 대해 배향될 수 있다. 스페이서(520)가 둘 이상의 돌출부(512)를 포함하고, 영역(514 및 516)을 생성하기 위해 돌출부(512)의 분포가 활용되는 것이 고려될 수 있을 것이다.
도 5d에 도시된 실시예에서, 스페이서(530)가 도시되고 있다. 스페이서(530)는 외부 지름(502)과 동심을 이루는 내부 지름(504)을 포함한다. 내부 지름(504)이 외부 지름(502)과 동심을 이루지 않는 것 또한 고려될 수 있다. 노치, 홈 또는 다른 기하학적 구조 같은 다수의 컷아웃(522)이 스페이서(530)의 내부 지름(504) 내에 형성된다. 컷아웃(522)의 수, 분포 및 밀도는 원하는 에칭 효과를 얻기 위해 선택될 수 있다. 예를 들어, 도 5e에 도시된 바와 같이, 컷아웃(522)의 조밀한 집중이 스페이서(540)의 일측에 위치하여, 더 적은 질량을 가지는 영역(532)에 비해 더 큰 질량을 가지는 영역(534)을 생성하며, 상기 영역(532)에서 컷아웃(522)의 밀도가 더 크다. 위에서 논의된 바와 같이, 원하는 에칭 결과를 얻기 위해 더 큰 밀도를 가지는 영역의 배향이 챔버 내에서 선택될 수 있다.
도 6 및 7은 배플 플레이트(180)의 일 실시예의 단면도 및 후방 입면도이다. 배플 플레이트(180)는 세라믹 또는 다른 적절한 물질로 제조된다. 일 실시예에서, 배플 플레이트(180)는 Al2O3로 제조된다.
배플 플레이트(180)는 펌핑 채널(118; 도 6에 점선으로 도시됨) 내에 배치된다. 일 실시예에서, 배플 플레이트(180)는 신장되며 펌핑 채널(118)의 전체 단면을 가로지른다. 배플 플레이트(180)는 반응기(100)의 처리 용적(108)과 마주보는 전면(702) 및 펌프(120; 도 6에 도시되지 않음)를 향하여 마주보는 후면(704)을 포함한다. 전면(702)은 평평하거나 굴곡질 수 있다. 도 6에 도시된 실시예에서, 전면(702)은 기판 지지부(도 4a 내지 4c에 도시됨)의 중심선(404)과 정렬되는 처리 용적(108)의 중심에 원점을 가지는 반경 상에서 굴곡진다(즉, 전면(702)은 오목하다). 배플 플레이트(180)의 후면(704)은 평평하다.
가스가 처리 용적(108)으로부터 펌프(120)로 지나갈 수 있도록 다수의 홀(706)이 배플 플레이트(180)를 관통하여 형성된다. 배플 플레이트(180)를 통하여 지나가는 플라즈마가 펌핑 채널(118)로 들어가는 것이 방지되도록 홀(706)이 구성되며, 그 결과 배플 플레이트(180) 아래쪽의 물질의 증착이 최소화된다. 배플 플레이트(180)는 펌프(120) 상의 폴리머의 증착을 최소화하는데 특히 효과적인 것으로 증명되었다. 일 실시예에서, 15 개의 홀(706)이 배플 플레이트(180)를 관통하여 형성된다. 일 실시예에서, 배플 플레이트(180)를 관통하는 홀(706)은 3 개의 행으로, 예를 들어 5 개의 홀로 구성된 3 개의 행으로 배열된다.
도 8 및 도 9는 배플 플레이트(800)의 다른 실시예의 단면도 및 후방 입면도이다. 배플 플레이트(800)는 세라믹 또는 다른 적절한 물질로 제조될 수 있다. 폴리머가 펌핑 구성요소를 오염시키는 것을 방지하기 위하여, 배플 플레이트(800)는 펌핑 채널(118) 내에 배치될 수 있다.
배플 플레이트(800)는 반응기의 처리 용적(108)과 마주보는 전면(802) 및 펌프(120; 도 8에 도시되지 않음)를 향하여 마주보는 후면(804)을 포함한다. 전면(802)은 평평하거나 굴곡질 수 있다. 도 8에 도시된 실시예에서, 전면(802)은 기판 지지부(도 4a 내지 4c에 도시됨)의 중심선(404)과 정렬되는 처리 용적(108)의 중심에 원점을 가지는 반경 상에서 굴곡진다.
배플 플레이트(180)의 후면(804) 또한 평평하거나 굴곡질 수 있다. 도 8에 도시된 실시예에서, 배플 플레이트(180)의 후면(804)은 굴곡지며 전면(802)과 동심을 이룬다.
가스가 처리 용적(108)으로부터 펌프(120)로 지나갈 수 있도록 다수의 홀(806)이 배플 플레이트(800)를 관통하여 형성된다. 플라즈마가 펌핑 채널(118)로 들어가는 것이 방지되도록 홀(806)이 구성된다. 일 실시예에서, 15 개의 홀(806)이 배플 플레이트(800)를 관통하여 형성된다. 일 실시예에서, 배플 플레이트(800)를 관통하는 홀(806)은 3 개의 행으로, 예를 들어 5 개의 홀로 구성된 3 개의 행으로 배열된다.
도 10은 기판을 에칭하는 방법의 일 실시예의 순서도를 도시하고 있다. 본 방법은 에칭 반응기(100) 또는 다른 적절한 에칭 반응기 내에서 실행될 수 있다. 본 방법은 반응기 내에 배치되는 기판 지지 조립체(140)로 기판을 이송시킴으로써 단계(1002)에서 시작된다.
단계 1004에서, 후면 가스는 기판과 기판 지지 조립체 사이의 틈새 공간으로 공급되며 기판(150)의 에지 아래에서부터 처리 용적(108)으로 지나간다. 일 실시예에서, 후면 가스는 후면 공정 가스를 포함한다. 후면 가스는 He 또는 다른 불활성 가스를 포함할 수도 있다. 후면 공정 가스는 아래에서 더 설명되는 에칭 또는 증착 서브 단계 중 적어도 하나에 영향을 미친다.
일 실시예에서, 후면 공정 가스는 폴리머 형성 가스를 포함한다. 일 실시예에서, 폴리머 형성 가스는 O2 같은 산소를 함유하는 가스이다. 헬륨 또는 다른 불활성 가스는 후면 가스 내에 존재할 수 있다. 일 실시예에서, 후면 가스 내에서 헬륨 대 후면 공정 가스의 비율은 질량 또는 무게로 약 50:50 내지 약 70:30 사이이다. 후면 가스의 압력은, 약 4 내지 26 Torr로, 또는 후면 공정 가스가 기판의 표면 처리에 영향을 미칠 수 있도록 웨이퍼 에지의 아래에서부터 후면 가스의 충분한 누출을 보장하기에 적절한 다른 압력으로 공급된다.
단계 1006에서, 순환식 에칭 공정이 수행된다. 순환식 에칭 공정은 하나 이상의 에칭 하위 단계(substep; 1008) 및 하나 이상의 증착 하위 단계(1010)를 포함한다. 하위 단계는 종료점에 도달될 때까지 반복적으로 수행된다. 시간, 유출물 모니터링, 플라즈마 모니터링, 두께 모니터링 또는 다른 적절한 종료점 탐지 방법을 통해 종료점이 결정될 수 있다.
실리콘을 에칭하기에 적절한 일 실시예에서, 에칭 하위 단계(1008)는 플루오르 함유 가스를 제공하는 단계를 포함한다. 적절한 플루오르 함유 가스는 SF6, NF3, CF4, CHF3, ClF3, BrF3, IF3 또는 이들의 유도체를 포함한다. 에칭 하위 단계(1008)는 약 7초보다 짧은 기간을 가질 수 있다. 일 실시예에서, 에칭 하위 단계(1008)는 1초 내지 3초 사이이다. 에칭 하위 단계(1008)의 제 1 부분은, 하위 단계(1008)의 제 2 부분 동안의 후속 에칭을 위해 실리콘 물질을 노출하도록, 에칭되고 있는 피처의 바닥 수평면들로부터 폴리머를 우선적으로 에칭하기 위해 신속 가스 교환부를 통한 산소 함유 가스의 도입을 포함할 수 있다.
*폴리머 증착 하위 단계(1010)는 신속 가스 매니폴드를 통하여 폴리머-형성 가스를 제공하는 단계를 포함할 수 있다. 폴리머-형성 가스는 C4F8 같은 탄소-함유 가스를 포함할 수 있다. 다른 적절한 폴리머-형성 가스가 이용될 수 있다. 하위 단계(1010)의 지속 기간은 하위 단계(1008)의 지속 기간과 유사하다.
하위 단계(1008)에서 하위 단계(1010)로, 그리고 그 반대의 신속한 절환은 300 ms 범위 내의 반응 시간을 가지는 신속 가스 교환 시스템(124) 내의 질량 유동 제어부를 이용하여 증가된다. 하위 단계(1008, 1010) 사이의 더 빠른 절환은 더 빠른 에칭 속도 및 피처 측벽의 더 적은 스캘러핑을 유발한다.
하위 단계(1008, 1010)는 종료점 또는 다른 원하는 점에 이를 때까지 하위 단계(1012)에서 반복된다. 일련의 반복 하위 단계(1012) 내의 마지막 하위 단계(1010)는 일단 종료점이 도달되면 생략될 수 있다고 생각된다.
도 11은 기판을 에칭하기 위한 방법의 일 실시예의 순서도를 도시하고 있다. 본 방법은 에칭 반응기(100) 또는 다른 적절한 에칭 반응기 내에서 실행될 수 있다.
본 방법은 기판을 반응기 내에 배치되는 기판 지지 조립체(140)에 이송함으로써 단계(1102)에서 시작한다. 단계(1104)에서, 후면 가스는 기판과 기판 지지 조립체 사이의 틈새 공간으로 공급되며 기판(150)의 에지 아래에서부터 처리 용적(108)으로 지나간다. 일 실시예에서, 후면 가스는 위에서 설명된 바와 같은 후면 공정 가스를 포함한다.
단계 1106에서, 순환식 에칭 공정이 수행된다. 순환식 에칭 공정은 하나 이상의 에칭 하위 단계(1108) 및 하나 이상의 증착 하위 단계(1110)를 포함한다. 하위 단계(1108, 1110)는 종료점 또는 다른 원하는 점에 이를 때까지 하위 단계(1112)에서 반복된다. 일련의 반복 하위 단계(1112) 내의 마지막 하위 단계(1010)는 일단 종료점이 도달되면 생략될 수 있다고 생각된다.
하나 이상의 반복 하위 단계(1112) 동안, 레시피 관리 하위 단계(1114)가 수행된다. 레시피 관리 하위 단계(1114)에서는 에칭되는 피처의 현재 종횡비에 대응하여 특정 레시피 변수를 조절한다. 예를 들어, 각각의 반복 하위 단계(1112)에서, 에칭 피처의 깊이가 증가하고, 이에 의해 에칭되는 피처의 종횡비가 증가한다. 종횡비가 증가함에 따라, 레시피가 일정하게 유지된다면 에칭 성능(performance)은 변화한다. 레시피 관리 하위 단계(1114)는 에칭 성능이 유지되도록 및/또는 피처의 현재 종횡비를 위해 최적화되도록 특정 공정 레시피 변수를 조절함으로써 상기 변화를 보상한다. 예를 들어, 트렌치가 밀폐되고 후속 에칭이 중단되는 것을 피하기 위하여 더 깊은 트렌치에서는 측벽 폴리머의 두께가 관리되어야 한다. 이에 따라, 후속 사이클에 걸쳐 종횡비가 계속적으로 증가할 때에, 에칭 성능을 유지하기 위해 및/또는 최적화하기 위해 공정 레시피 변수 중 하나 이상이 조절된다(예를 들어, 램핑 업 또는 다운된다). 몇몇 변수는 무엇보다 바이어스 전력, 바이어스 듀티 사이클, 바이어스 전력 펄싱, 기판 지지 조립체 온도, 소스 전력, 챔버 압력, 공정 가스 유량 및 공정 가스 조성을 포함한다.
전술한 공정 및 장비가 종래의 공정 및 종래의 설계에 대한 현저한 개선을 입증했다. 기판 전역의 더 균일한 에칭 결과를 위하여, 기판의 에지에 인접한 CD 바이어스는 후면 공정 가스를 이용하여 제어될 수 있다.
전술된 것이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 추가의 실시예들이 본 발명의 기본 범위를 일탈하지 않고 고안될 수 있으며, 본 발명의 범위는 아래의 청구항에 의하여 결정된다.

Claims (14)

  1. 에칭 방법으로서,
    플라즈마 프로세싱 챔버 내에서, 종료점에 이를 때까지, 주기적인 에칭 하위 단계 및 증착 하위 단계를 포함하는 에칭 레시피를 이용하여 실리콘 층 내에 피쳐(feature)를 에칭하는 단계,
    상기 피쳐가 메워지는 것과 후속 에칭이 방해되는 것이 방지되도록, 상기 피쳐가 더 깊게 형성될 때에 측벽 폴리머의 두께를 관리하기 위해 에칭 동안 상기 피쳐의 현재 종횡비에 대응하여 상기 에칭 레시피의 레시피 변수를 조절하는 단계
    를 포함하며,
    종료점에 이를 때까지 일정 시간 동안 수행되는 주기적인 에칭 하위 단계 및 증착 하위 단계의 횟수에 따라 상기 피쳐의 종횡비가 증가하는,
    에칭 방법.
  2. 제 1 항에 있어서,
    상기 에칭 레시피의 레시피 변수가, 바이어스 전력, 바이어스 듀티 사이클, 바이어스 전력 펄싱(pulsing), 기판 지지 조립체 온도, 소오스 전력, 챔버 압력, 프로세스 가스 유량 및 프로세스 가스 조성 중 하나 이상을 포함하는,
    에칭 방법.
  3. 제 1 항에 있어서,
    실리콘 층을 주기적으로 에칭하는 단계가,
    에칭 하위 단계 동안 플루오르 함유 가스로부터 플라즈마를 형성하는 단계; 및
    증착 하위 단계 동안 탄소 함유 가스를 이용하는 단계
    를 더 포함하는,
    에칭 방법.
  4. 제 3 항에 있어서,
    상기 에칭 하위 단계는 약 7초보다 짧은 지속 기간을 가지는,
    에칭 방법.
  5. 제 3 항에 있어서,
    상기 에칭 하위 단계는,
    상기 에칭 하위 단계의 제 2 부분 동안의 후속 에칭을 위해 실리콘 물질을 노출하도록, 에칭되고 있는 피처의 바닥 수평면들로부터 폴리머를 우선적으로 에칭하기 위해 상기 플루오르 함유 가스와 함께 산소 함유 가스를 도입하는 단계를 더 포함하는,
    에칭 방법.
  6. 에칭 방법으로서,
    플라즈마 프로세싱 챔버 내에서, 종료점에 이를 때까지, 플루오르 함유 가스를 이용하는 에칭 하위 단계 및 탄소 함유 가스를 이용하는 증착 하위 단계의 주기적인 단계를 포함하는 에칭 레시피를 이용하여 실리콘 층 내에 피쳐를 에칭하는 단계,
    상기 피쳐가 메워지는 것과 후속 에칭이 방해되는 것이 방지되도록, 상기 피쳐가 더 깊게 형성될 때에 측벽 폴리머의 두께를 관리하기 위해 에칭 동안 상기 피쳐의 현재 종횡비에 대응하여 상기 에칭 레시피의 레시피 변수를 조절하는 단계
    를 포함하며,
    종료점에 이를 때까지 일정 시간 동안 수행되는 주기적인 에칭 하위 단계 및 증착 하위 단계의 횟수에 따라 상기 피쳐의 종횡비가 증가하고,
    상기 에칭 하위 단계는 약 7초보다 짧은 지속 기간을 가지며,
    상기 에칭 레시피의 레시피 변수는 바이어스 전력, 바이어스 듀티 사이클, 바이어스 전력 펄싱, 기판 지지 조립체 온도, 소오스 전력, 챔버 압력, 프로세스 가스 유량 및 프로세스 가스 조성 중 하나 이상을 포함하는,
    에칭 방법.
  7. 제 1 항 또는 제 6 항에 있어서,
    상기 에칭 레시피의 레시피 변수를 조절하는 단계는, 상기 에칭 레시피의 변수를 램핑 업하는 단계 및 상기 에칭 레시피의 변수를 램핑 다운하는 단계 중 하나 이상을 포함하는,
    에칭 방법.
  8. 제 1 항 또는 제 6 항에 있어서,
    그 위에 상기 실리콘 층이 배치되는 기판과 상기 플라즈마 프로세싱 챔버 내에 배치되는 기판 지지 조립체 사이에 후면 프로세스 가스를 유동시키는 단계를 더 포함하며,
    상기 후면 프로세스 가스는, 상기 플라즈마 프로세싱 챔버 내의 물질들과 반응함으로써 에칭 사이클 동안 폴리머화 또는 에칭 속도에 영향을 주는 가스인,
    에칭 방법.
  9. 제 8 항에 있어서,
    상기 후면 프로세스 가스는 산소 함유 가스인,
    에칭 방법.
  10. 제 9 항에 있어서,
    상기 기판과 상기 기판 지지 조립체 사이로 He를 상기 후면 프로세스 가스와 함께 유동시키는 단계를 더 포함하는,
    에칭 방법.
  11. 제 9 항에 있어서,
    상기 산소 함유 가스는 O2인,
    에칭 방법.
  12. 제 11 항에 있어서,
    상기 기판과 상기 기판 지지 조립체 사이로 유동하는 He 대 O2 가스의 비율은 부피로 또는 질량으로 약 50:50 내지 약 70:30인,
    에칭 방법.
  13. 제 3 항 또는 제 6 항에 있어서,
    상기 플루오르 함유 가스는 SF6, NF3, CF4, CHF3, ClF3, BrF3, IF3 또는 이들의 유도체 중 하나 이상을 더 포함하는,
    에칭 방법.
  14. 제 3 항 또는 제 6 항에 있어서,
    상기 탄소 함유 가스는 또한 C4F8인,
    에칭 방법.

KR1020127024405A 2009-01-31 2010-01-27 에칭을 위한 방법 및 장치 KR101795658B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14892809P 2009-01-31 2009-01-31
US61/148,928 2009-01-31
PCT/US2010/022223 WO2010088267A2 (en) 2009-01-31 2010-01-27 Method and apparatus for etching

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020117020310A Division KR101712348B1 (ko) 2009-01-31 2010-01-27 에칭을 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20120120454A true KR20120120454A (ko) 2012-11-01
KR101795658B1 KR101795658B1 (ko) 2017-11-08

Family

ID=42396315

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127024405A KR101795658B1 (ko) 2009-01-31 2010-01-27 에칭을 위한 방법 및 장치
KR1020117020310A KR101712348B1 (ko) 2009-01-31 2010-01-27 에칭을 위한 방법 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020117020310A KR101712348B1 (ko) 2009-01-31 2010-01-27 에칭을 위한 방법 및 장치

Country Status (5)

Country Link
US (2) US8937017B2 (ko)
KR (2) KR101795658B1 (ko)
CN (2) CN102768933B (ko)
TW (2) TWI598952B (ko)
WO (1) WO2010088267A2 (ko)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101795658B1 (ko) 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN103620734B (zh) * 2011-06-30 2017-02-15 应用材料公司 用于快速气体交换、快速气体切换以及可编程的气体输送的方法与装置
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN102751160B (zh) * 2012-07-13 2016-02-10 中微半导体设备(上海)有限公司 刻蚀装置及对应的刻蚀方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10724137B2 (en) * 2013-02-05 2020-07-28 Kokusai Eletric Corporation Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and cleaning completion determining method
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6362670B2 (ja) 2013-03-15 2018-07-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ポリマーを管理することによるエッチングシステムの生産性の向上
CN105493229B (zh) 2013-08-19 2019-04-05 应用材料公司 用于杂质分层外延法的设备
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN107275202B (zh) * 2016-04-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7236975B2 (ja) * 2019-10-08 2023-03-10 東京エレクトロン株式会社 制御装置、処理装置及び制御方法
CN113948358B (zh) * 2020-07-17 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及半导体结构的形成方法
CN114678270A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 一种电感耦合等离子处理装置及其刻蚀方法
CN115159865B (zh) * 2022-07-26 2023-05-09 艾瑞森表面技术(苏州)股份有限公司 一种防眩光的表面处理方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59172237A (ja) * 1983-03-18 1984-09-28 Fujitsu Ltd プラズマ処理装置
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
JPH05166757A (ja) * 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
ATE251341T1 (de) 1996-08-01 2003-10-15 Surface Technology Systems Plc Verfahren zur ätzung von substraten
US5856906A (en) * 1997-05-12 1999-01-05 Applied Materials, Inc. Backside gas quick dump apparatus for a semiconductor wafer processing system
US6632321B2 (en) 1998-01-06 2003-10-14 Applied Materials, Inc Method and apparatus for monitoring and controlling wafer fabrication process
DE19826382C2 (de) * 1998-06-12 2002-02-07 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US7183219B1 (en) * 1998-12-28 2007-02-27 Tokyo Electron At Limited And Japan Science And Technology Corporation Method of plasma processing
US6303513B1 (en) * 1999-06-07 2001-10-16 Applied Materials, Inc. Method for controlling a profile of a structure formed on a substrate
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6294423B1 (en) * 2000-11-21 2001-09-25 Infineon Technologies North America Corp. Method for forming and filling isolation trenches
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP2003031650A (ja) * 2001-07-13 2003-01-31 Toshiba Corp 半導体装置の製造方法
JP3527901B2 (ja) 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
FR2834382B1 (fr) * 2002-01-03 2005-03-18 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
US6900136B2 (en) * 2002-03-08 2005-05-31 Industrial Technology Research Institute Method for reducing reactive ion etching (RIE) lag in semiconductor fabrication processes
US6924235B2 (en) 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
TWI222160B (en) * 2003-04-08 2004-10-11 Nanya Technology Corp Method of reducing trench aspect ratio
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006278827A (ja) * 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2008172184A (ja) 2006-02-23 2008-07-24 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US20070232070A1 (en) * 2006-03-31 2007-10-04 Stephan Wege Method and device for depositing a protective layer during an etching procedure
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
TW200806567A (en) * 2006-07-26 2008-02-01 Touch Micro System Tech Method of deep etching
US7993465B2 (en) * 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US8557094B2 (en) * 2006-10-05 2013-10-15 Applied Materials, Inc. Sputtering chamber having auxiliary backside magnet to improve etch uniformity and magnetron producing sustained self sputtering of ruthenium and tantalum
US20080286978A1 (en) * 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
KR101795658B1 (ko) 2009-01-31 2017-11-08 어플라이드 머티어리얼스, 인코포레이티드 에칭을 위한 방법 및 장치
WO2010141257A2 (en) * 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching

Also Published As

Publication number Publication date
CN102301458A (zh) 2011-12-28
CN102768933B (zh) 2017-06-30
TW201044457A (en) 2010-12-16
KR20110118704A (ko) 2011-10-31
US20120208300A1 (en) 2012-08-16
US20100197138A1 (en) 2010-08-05
WO2010088267A3 (en) 2010-10-14
TWI545649B (zh) 2016-08-11
CN102301458B (zh) 2016-01-20
CN102768933A (zh) 2012-11-07
TW201243943A (en) 2012-11-01
US8937017B2 (en) 2015-01-20
WO2010088267A4 (en) 2010-12-02
TWI598952B (zh) 2017-09-11
KR101795658B1 (ko) 2017-11-08
WO2010088267A2 (en) 2010-08-05
KR101712348B1 (ko) 2017-03-06

Similar Documents

Publication Publication Date Title
KR101795658B1 (ko) 에칭을 위한 방법 및 장치
US11004689B2 (en) Thermal silicon etch
US8298959B2 (en) Method and apparatus for etching
KR102460164B1 (ko) 에칭 방법
US8790489B2 (en) Substrate processing apparatus and substrate processing method
TWI389192B (zh) 具快速氣體切換能力之氣體分佈系統
JP5950831B2 (ja) イオン発生と処理ガスの解離の独立制御を有するプラズマエッチングのためのシステム、方法、および装置
US20150214066A1 (en) Method for material removal in dry etch reactor
US20090272717A1 (en) Method and apparatus of a substrate etching system and process
JP2007300102A (ja) 異なるエッチング及び重合体堆積速度の重合エッチングガスを異なる半径方向ガス噴射区域において時間変調で用いるプラズマエッチング処理
KR102033192B1 (ko) 고속 가스 스위칭을 위해서 유용한 플라즈마 에칭 챔버용 챔버 필러 키트
WO2012087921A1 (en) Methods for etching a substrate
US9267605B2 (en) Pressure control valve assembly of plasma processing chamber and rapid alternating process
WO2018195423A1 (en) Structure with selective barrier layer
JP2007531280A (ja) 最少スカラップ基板の処理方法
JP2009525604A (ja) 異方性エッチングの方法
US20210111033A1 (en) Isotropic silicon nitride removal
US20220293430A1 (en) Isotropic silicon nitride removal
US20220359214A1 (en) Metal etch in high aspect-ratio features
US20240120210A1 (en) Isotropic silicon nitride removal

Legal Events

Date Code Title Description
A107 Divisional application of patent
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant