JP6362670B2 - ポリマーを管理することによるエッチングシステムの生産性の向上 - Google Patents

ポリマーを管理することによるエッチングシステムの生産性の向上 Download PDF

Info

Publication number
JP6362670B2
JP6362670B2 JP2016500157A JP2016500157A JP6362670B2 JP 6362670 B2 JP6362670 B2 JP 6362670B2 JP 2016500157 A JP2016500157 A JP 2016500157A JP 2016500157 A JP2016500157 A JP 2016500157A JP 6362670 B2 JP6362670 B2 JP 6362670B2
Authority
JP
Japan
Prior art keywords
pump port
region
disposed
temperature
heating element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016500157A
Other languages
English (en)
Other versions
JP2016512392A (ja
Inventor
ロバート チェビ
ロバート チェビ
アルフレード グラナドス
アルフレード グラナドス
ピーター デモンテ
ピーター デモンテ
ジャオ エイチ チェン
ジャオ エイチ チェン
ジャンチ ワン
ジャンチ ワン
ラジャン バレサン
ラジャン バレサン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016512392A publication Critical patent/JP2016512392A/ja
Application granted granted Critical
Publication of JP6362670B2 publication Critical patent/JP6362670B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Description

本明細書に記載する実施形態は、一般に、半導体処理チャンバ内にポリマーが堆積するのを低減させるための装置および方法に関する。より詳細には、本明細書に記載する実施形態は、ポリマーを管理することによるエッチングシステムの生産性の向上に関する。
いくつかの基板エッチング処理で、プラズマチャンバを利用することがある。こうしたチャンバは、一般に、処理中の基板の上に覆い被さるチャンバのドームまたはルーフの裏側に配置された、RF誘導コイルを含む。RF電源をコイルに接続して、誘導性エネルギーをチャンバ内に結合させ、それにより、処理中の基板から離れたところにプラズマ源領域を形成することができる。
チャンバの壁およびドームに伴う、繰り返し発生する問題は、エッチング環境においてさえも、エッチング処理の副生成物に起因する残留物が壁およびドーム上に形成することがある、というものである。そのような副生成物は、基板から除去される、フォトレジスト層であることも、他の材料であることもある。副生成物は、気相状態にも、固相状態にもあり、チャンバの壁およびドーム上に堆積することがある。副生成物に加えて、いくつかのエッチング化学作用の場合、重合反応性ガスを使用して、基板上にエッチングされているあるタイプの特徴(すなわちビアまたはトレンチ)の側壁保護を強化することがある。この重合反応性ガスも、プラズマの付近の、壁やドームなどの内面上に堆積することがある。
チャンバの壁およびドームに付着している残留物は、剥離してチャンバの処理領域内に落ちることがある。基板上に落ちるそのようなどんな粒子も、基板上に作製されている集積回路の不良または信頼性の問題をもたらす可能性がある。コイルアンテナの誘電体窓として働くセラミックドームが使用されている場合、粒子の問題が悪化するおそれがある。ソースコイルは、大量のエネルギーをチャンバ内に結合するためのものであり、したがって、しばしば高電力レベルで動作する。必然的に、RFエネルギーのかなりの部分が、ドーム内で熱消散する。
しかし、基板がチャンバ内に、またチャンバから外に循環するので、コイルは断続的に動作するにすぎず、また、セラミックは一般に熱伝導性に乏しい。その結果、ドーム材料は、繰り返し起こる大幅な温度スイングを受け、それにより、ドームの熱膨張と熱収縮の繰り返しサイクルが生じる。この熱的影響は、コイルに直接隣接する領域内で最大になる。これらの条件の下で、残留物が剥離して粒子を生じさせる可能性がより高くなる。
前述したように、エッチング中に壁またはドーム上に残留物があることは、一般に望ましくない。残留物は、システム性能および結果として得られる基板上での結果に破壊的な影響を及ぼすおそれがある。残留物を管理する1つの方法は、ドームを定期的に交換することである。しかし、この方法は非常に高くつき、時間がかかる。残留物を管理する別の方法は、チャンバ内部を洗浄することである。しかし、この方法は、大幅なシステムダウンタイムを強い、技術者の人手による関与を必要とする。
したがって、基板処理チャンバ内にポリマーが堆積するのを、適時にかつ高いコスト効率で低減させ、または制御し、それにより処理チャンバのダウンタイムが減少するような装置および方法が、当技術分野で必要とされている。
本明細書に記載する実施形態は、一般に、半導体処理チャンバ内にポリマーが堆積するのを低減させるための装置および方法に関する。より詳細には、本明細書に記載する実施形態は、ポリマーを管理することによるエッチングシステムの生産性の向上に関する。
一実施形態では、基板を処理するための装置が提供される。装置は、粗面を有する誘電体天井と、絶縁体内に配設された導電性本体と、基板支持体と、ヒータジャケット内に配設されたポンプポートとを備える。ヒータジャケットには、その中に複数の発熱体が配設され、複数の発熱体は、ポンプポートの均一な温度プロファイルを維持するように構成される。誘電体天井の均一な温度プロファイルを維持するように構成された複数の熱源も提供される。
別の実施形態では、ポリマーが堆積するのを制御する方法が提供される。方法は、1つまたは複数のRFコイルに電力を供給することよって、処理チャンバ内にプラズマを形成すること、RFコイルに実質的に電力が供給されていないときに、複数の熱源に電力を供給すること、およびRFコイルへの電力と熱源への電力とを交互させることによって誘電体天井の一定の温度を維持するように、熱源を構成することを含む。
別の実施形態では、ヒータジャケットが提供される。ヒータジャケットは、ポンプポートの周りに配設されたジャケット本体と、ポンプポートの第1の領域に隣接して配設された第1の発熱体と、ポンプポートの第2の領域に隣接して配設された第2の発熱体と、ポンプポートの第3の領域に隣接して配設された第3の発熱体とを備える。
本発明の上で列挙した特徴を詳細に理解することができるように、上で簡潔に要約した本発明のより詳細な説明を、添付の図面にそのいくつかが示されている実施形態を参照して行うことができる。しかし、添付の図面は、本発明の典型的な実施形態を示すにすぎず、したがって、本発明の範囲を限定するものとは、本発明が他の等しく効果的な実施形態を許容できるので、見なすべきでないことに留意されたい。
エッチングリアクタの概略側面図である。
理解しやすくするために、可能な場合、同一の参照番号を使用し、図面に共通の同一要素を示す。ある実施形態の中で開示された要素を、特に列挙しなくても他の実施形態に対して有利に利用できることが企図されている。
ここに記載する実施形態は、エッチング、堆積などのどんなプラズマ支援型基板処理にも有利となり得る。適切な処理の非限定的な例には、微小電気機械システム(MEMS)デバイスまたはSi貫通電極(TSV)適用物の形成に使用する、ディープシリコン(Si)エッチング処理がある。
図1は、エッチングリアクタ100の概略側面図である。エッチングリアクタ100は、単独で利用してもよく、より典型的には、Santa Clara、CAのApplied Materials,Inc.から入手可能なCENTURA(登録商標)統合半導体基板処理システムなどの統合半導体基板処理システム、すなわちクラスタツール、の処理モジュールとして利用してもよい。本明細書に記載する実施形態に従って修正することのできる適切なエッチングリアクタの例には、やはりApplied Material,Inc.、Santa Clara、CAから入手可能な、(AdvantEdge SまたはAdvantEdge Hなどの)ADVANTEDGE(商標)ラインのエッチングリアクタ、(DPS(登録商標)、DPS(登録商標)II、DPS(登録商標)AE、DPS(登録商標)HT、DPS(登録商標)G3ポリエッチャー、DPS(登録商標)+、DPS(登録商標)DT+DPS(登録商標)DTMなどの)DPS(登録商標)ラインのエッチングリアクタ、または他のエッチングリアクタがある。他のエッチングリアクタ、または堆積、表面処理などに使用する処理装置など、他の製造業者から入手可能なものを含む非エッチングプラズマ処理装置も、本明細書に記載する教示に従って修正することができる。
エッチングリアクタ100は、基板支持体116が中に配設された処理容積115を有する、処理チャンバ110を備える。プラズマ発生器がプラズマを処理容積115内に形成およびまたは維持してもよく、エッチングリアクタ100の使用中にプラズマが処理容積115に供給されてもよい。いくつかの実施形態では、チャンバ110は、導電性本体(壁)130の上に配設された、ドーム状の誘電体天井(ドームまたは誘電体窓とも呼ばれる)を設けることができる。いくつかの実施形態では、天井120は、他の形状、例えば、ほぼ平坦な幾何形状を有することができる。処理容積115は、導電性本体130と誘電体天井120とで封じ込めることができる。
誘電体天井120は、石英やアルミナなどのセラミック材料を備えることができる。一実施形態では、誘電体天井120の粗面122を粗面化することができる。誘電体天井120は、所定の表面粗さをもたらすように、ビードブラストしてもよく、別の適切な方法によって粗面化してもよい。一実施形態では、表面粗さ(単位はμin)は、約20Raから約120Raの範囲内とすることができる。所定の表面粗さは、部分が晒される特定の処理条件(例えば、限定はしないが、部分の上に堆積させる材料のタイプおよび厚さなどの半導体処理条件)に応じてさまざまでよい。粗面122は、堆積した材料が付着し蓄積することのできる、より大きな表面積をもたらすことができる。さらに、粗面122は、誘電体天井120と堆積した材料との間に、より良好な付着力をもたらし、堆積した材料を、剥離に対してより耐性のあるものにすることができる。
ポンプポート125を処理容積115に対して非対称に配設して、処理容積115から1種または複数種のガスを除去することができる。一実施形態では、ポンプポート125を処理容積115の一方に配設し、したがって、使用中に処理容積115内に高圧と低圧の非対称領域が形成して、流れの非対称性を生じさせることができる。処理容積115内の圧力は、スロットルバルブ(図示せず)および真空ポンプ136によって制御することができる。いくつかの実施形態では、基板114を処理チャンバ110内に、また処理チャンバ110から外に移送するためのスリットバルブ102、および/または処理チャンバ110自体の幾何形状が、処理チャンバ110内の任意の流れの非対称性の原因であってもよく、その流れの非対称性に寄与してもよい。
いくつかの実施形態では、ヒータジャケット160を提供することができる。ヒータジャケット160は、ポンプポート125をヒータジャケット160内に配設することができるように、ポンプポート125を実質的に取り囲むことができる。ヒータジャケット160は、ポンプポート125の均一な温度プロファイルを維持するように構成することのできる、抵抗体などの複数のヒータを備えることができる。
第1のヒータ162を、ポンプポート125の第1の領域126に隣接して配設することができる。第1の領域126は、ポンプポート125のほぼ水平な上部とすることができ、導電性本体130と第2の領域127との間に配設することができる。第2のヒータ164を、ポンプポート125の第2の領域127に隣接して配設することができる。第2の領域127は、第1の領域126と第3の領域128との間に配設された垂直部分とすることができる。いくつかの実施形態では、第2の領域をほぼ環状とすることができる。第3のヒータ166を、ポンプポート125の第3の領域128に隣接して配設することができる。第3の領域128は、ほぼ水平な底部とすることができ、それをチャンバ絶縁体150と第2の領域127との間に配設することができる。いくつかの実施形態では、複数のヒータおよびそれぞれに対応する領域を独立に制御して、ポンプポート125の均一な温度プロファイルをもたらすことができる。いくつかの実施形態では、約80℃から約130℃の間など、約50℃から約150℃の間の温度にポンプポート125を維持するように、複数のヒータを構成することができる。
プラズマ発生器は、高周波(RF:radio frequency)プラズマ発生器、遠隔プラズマ発生器など、任意の適切なプラズマ発生器とすることができる。いくつかの実施形態では、プラズマ発生器は、電極に結合された信号発生器118を備える。信号発生器118は一般に、処理チャンバ110内または処理チャンバ110から離れたところにプラズマを形成および/または維持するのに適した周波数のエネルギーをもたらす。例えば、信号発生器118は、約50kHzから約2.45GHzの周波数の信号をもたらすことができる。プラズマ発生器は、処理チャンバ110内の圧力/流れの非対称な状態を補償することのできる非対称プラズマを、処理チャンバ110内にもたらすように構成することができる。信号発生器118は、使用中の反射電力を最小限に抑えるための第1の整合回路網119を介して、電極に結合することができる。
いくつかの実施形態では、電極は、少なくとも1つのRFコイル112を備えるアンテナ111とすることができる。いくつかの実施形態では、(図1に示す)アンテナ111を、誘電体天井120の上に配設することができ、また、処理容積115に供給された処理ガスにRFエネルギーを誘導結合するように構成することができる。処理ガスは処理容積115に、ガスパネル138から注入器(図示せず)によって供給することができる。アンテナ111は、第1の整合回路網119を介して信号発生器118に結合することができる。
アンテナ111は、基板支持体116の上方に配設することができる。基板支持体116は、第2の整合回路網124を介して、バイアス電源122に結合することができる。バイアス電源122は、適切な周波数の最大で約1500WのRFエネルギーを生成することができてよい。いくつかの実施形態では、バイアス電源122によってもたらされる信号の周波数は、約400kHzから約13.56MHzとすることができる。バイアス電力は、連続電力でもよく、パルス電力でもよい。いくつかの実施形態では、バイアス電源122は、DC源でもよく、パルスDC源でもよい。
処理チャンバ110の導電性本体130の上方に、ハウジング140を配設することができる。誘電体天井120およびアンテナ111は、ハウジング140内に配設することができる。ハウジング140内に、ランプなどの複数の熱源142を配設することができる。熱源142は、アンテナ111の上方に配設することができる。熱源142は、例えばアンテナ111に通電していないときに、とりわけ誘電体天井120を加熱するように構成することができる。ハウジング140内の熱源142の上方に、ファン144を配設することができ、ハウジング140内の空気を循環させて、熱源142から誘電体天井120に至るまで、一様な熱分布をもたらすように構成することができる。ファン144は、アンテナ111のRFコイル112を冷却するように構成することもできる。熱源142およびアンテナ111は、誘電体天井120の均一な温度プロファイルを維持するように構成することができる。いくつかの実施形態では、熱源142を、誘電体天井120のほぼ一定の温度を維持するように構成することができる。
いくつかの実施形態では、導電性本体130の一部分の周りに、チャンバ絶縁体150を形成することができる。いくつかの実施形態では、導電性本体130のほぼ全てを、チャンバ絶縁体150によって取り囲むことができる。チャンバ絶縁体150は、処理チャンバ110を絶縁するように、またアンテナ111に通電していないときに、処理チャンバ110のさまざまな領域全体を通して均一な温度プロファイルをもたらすように、構成することができる。チャンバ絶縁体150は、チャンバ絶縁体150内に配設された熱交換器(図示せず)を備えることもできる。熱交換器は、水やエチレングリコールなどの液体を輸送するための流体輸送システムを備えることができる。導電性本体130の均一な温度プロファイルを維持することにより、導電性本体130上に残留物が堆積するのを低減させることができる。いくつかの実施形態では、熱交換器およびチャンバ絶縁体150が導電性本体130を、約80℃から約90℃の間など、約50℃の温度から約150℃の温度の間に維持することができる。
図1には示していないが、上述したようなさまざまな要素にコントローラを結合して、基板処理中にエッチングリアクタ100内で実施されるさまざまな処理パラメータを制御することができる。コントローラは、工業環境においてさまざまなチャンバおよびサブプロセッサを制御するために使用することができるよりも、任意の形態の汎用コンピュータプロセッサのうちの1つとすることができる。コントローラは、中央処理装置(CPU:central processing unit)、メモリ、およびCPUのサポート回路を備えることができる。CPUのメモリ、すなわちコンピュータ読取り可能媒体は、ランダムアクセスメモリ、リードオンリーメモリ、フロッピーディスク、ハードディスク、または他の任意の形態のデジタルストレージなど、ローカルまたはリモートの、容易に入手可能なメモリのうちの1つまたは複数とすることができる。サポート回路は、CPUに結合されて、プロセッサを従来の方式でサポートする。こうした回路には、キャッシュ、電源、クロック回路、入力/出力回路、およびサブシステムなどがあり得る。動作方法をメモリ内にソフトウェアルーチンとして記憶することができる。ソフトウェアルーチンは、CPUによって制御されているハードウェアから離れた位置にある第2のCPUによって、記憶および/または実行することもできる。
処理チャンバ110を均一な温度プロファイルに維持することは、残留物、すなわちポリマー材料が、導電性本体130および誘電体天井120上に堆積するのを制御するという点で、有利となり得る。一実施形態では、誘電体天井120の均一な温度プロファイルを維持するように、熱源142を構成することができる。動作の際には、アンテナ111のRFコイル112を、「オン」と「オフ」に順番に切り替えて、処理容積115内にプラズマを形成することができる。RFコイル112に電力が供給されているとき、コイル112は誘電体天井120を加熱する。RFコイル112がオフのとき、RFコイル112は、誘電体天井120に熱エネルギーを供給しない。誘電体天井120が冷却した場合、より多量の材料が誘電体天井120上に形成し、誘電体天井120とその上に堆積した材料の熱膨張率の差により誘電体天井120が加熱するので、その材料が後に剥離して、処理中の基板114上に落ちるおそれがある。
熱源142を、誘電体天井120の均一な温度プロファイルを維持するように、オンとオフに順番に切り替えることができる。一実施形態では、RFコイル112がオンのとき、熱源142をオフにすることができる。別の実施形態では、RFコイル112がオフのとき、熱源142をオンにすることができる。RFコイル112と熱源142を順番に切り替えることにより、誘電体天井120の均一な熱プロファイルをもたらすことができ、それにより、誘電体天井120から残留物が剥離するのを低減させることができる。いくつかの実施形態では、約80℃から約130℃の間など、約50℃から約150℃の間の温度に誘電体天井120を維持するように、熱源142を構成することができる。
別の実施形態では、ヒータジャケット160および複数のヒータが、ポンプポート125の均一な温度プロファイルを維持することができる。ポンプポート125の均一な温度プロファイルを維持することにより、ポンプポート125の領域126、127、および128上に残留物が堆積するのを低減させることができる。いくつかの実施形態では、第1のヒータ162が、第1の領域126を第1の温度に維持することができ、第2のヒータ164が、第2の領域127を第2の温度に維持することができ、第3のヒータ166が、第3の領域128を第3の温度に維持することができる。一実施形態では、第1の温度、第2の温度、および第3の温度を、約80℃から約130℃の間など、約50℃から約150℃の間に維持することができる。
ポンプポート125のさまざまな領域間の熱流束がさまざまに異なることから、ヒータおよび加熱ゾーンが複数あることにより、ポンプポート125の温度制御の改善をもたらすことができる。例えば、第3の領域128は、ガスがポンプポート125を通って移動することにより、より多くの熱流束を受ける可能性がある。第1の領域126の付近に流れるガスは、より少ない可能性があり、したがって、そこではより少ない熱流束を受ける可能性がある。したがって、さまざまなゾーンを独立に制御することにより、ポンプポートの温度均一性の改善をもたらすことができる。ポンプポート125のさまざまな領域の相対的な質量も、温度均一性に影響を及ぼす可能性がある。例えば、第1の領域126は、第2の領域127よりも大きな質量を有する可能性があり、第1の領域126を第2の領域127と同じ温度に維持するために、より多くの熱入力を必要とする可能性がある。多ゾーンヒータジャケット160は、ポンプポート125のさまざまな領域のガス流および相対的な質量による温度変動を補償し、ポンプポート125の温度均一性の改善をもたらす。
上述の内容は、本発明の実施形態を対象としているが、本発明の他のさらなる実施形態を、本発明の基本的な範囲から逸脱することなく考案することができ、本発明の範囲は、添付の特許請求の範囲によって定められる。

Claims (10)

  1. 基板を処理するための装置であって、
    粗面を有する誘電体天井と、
    絶縁体内に配設された導電性本体とを備え、前記誘電体天井及び前記導電性本体は処理容積を画定しており、更に、
    前記処理容積に配設される基板支持体と、
    前記処理容積に対して非対称に配設されたポンプポートであって、前記導電性本体から水平に延びる第1の領域と、前記第1の領域から垂直に延びる環状の第2の領域と、前記第2の領域と前記絶縁体との間に延びる第3の領域とを有している、ポンプポートと、
    前記ポンプポートの周りに配設されるヒータジャケットであって、その中に複数の発熱体を有し、ポンプポートの均一な温度プロファイルを維持するように構成される、ヒータジャケットと、
    前記誘電体天井の均一な温度プロファイルを維持するように構成された複数の熱源と
    を備える、装置。
  2. 前記ヒータジャケットが3つの発熱体を備える、請求項1に記載の装置。
  3. 第1の発熱体が、前記ポンプポートの前記第1の領域を加熱するように構成される、請求項2に記載の装置。
  4. 第2の発熱体が、前記ポンプポートの前記第2の領域を加熱するように構成される、請求項2に記載の装置。
  5. 第3の発熱体が、前記ポンプポートの前記第3の領域を加熱するように構成される、請求項2に記載の装置。
  6. 前記複数の発熱体が、前記ポンプポートの均一な温度プロファイルを維持するように構成される、請求項1に記載の装置。
  7. 前記複数の発熱体が、前記ポンプポートを80℃から130℃の間の温度に維持する、請求項1に記載の装置。
  8. 前記熱源が、前記誘電体天井を80℃から130℃の間の一定の温度に維持する、請求項1に記載の装置。
  9. 基板を処理する装置であって、
    導電性本体に接続される誘電体天井を備え、前記誘電体天井及び前記導電性本体が処理容積を画定しており、更に、
    前記処理容積に対して非対称に配置されるポンプポートと、
    前記ポンプポートの周りに配設されたジャケット本体と、
    前記ポンプポートの第1の領域に隣接して前記ジャケット本体内に配設された第1の発熱体と、
    前記ポンプポートの第2の領域に隣接して前記ジャケット本体内に配設された第2の発熱体と、
    前記ポンプポートの第3の領域に隣接して前記ジャケット本体内に配設された第3の発熱体と
    を備える、装置
  10. 前記第1の発熱体が、第1の温度を維持するように構成され、前記第2の発熱体が、第2の温度を維持するように構成され、前記第3の発熱体が、第3の温度を維持するように構成され、前記第1の温度と、前記第2の温度と、前記第3の温度とが異なる、請求項に記載の装置
JP2016500157A 2013-03-15 2014-01-06 ポリマーを管理することによるエッチングシステムの生産性の向上 Active JP6362670B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361790620P 2013-03-15 2013-03-15
US61/790,620 2013-03-15
PCT/US2014/010306 WO2014149143A1 (en) 2013-03-15 2014-01-06 Enhanced productivity for an etch system through polymer management

Publications (2)

Publication Number Publication Date
JP2016512392A JP2016512392A (ja) 2016-04-25
JP6362670B2 true JP6362670B2 (ja) 2018-07-25

Family

ID=51529018

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016500157A Active JP6362670B2 (ja) 2013-03-15 2014-01-06 ポリマーを管理することによるエッチングシステムの生産性の向上

Country Status (6)

Country Link
US (1) US10037883B2 (ja)
JP (1) JP6362670B2 (ja)
KR (1) KR102163381B1 (ja)
CN (1) CN105027269B (ja)
TW (1) TWI609990B (ja)
WO (1) WO2014149143A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10211030B2 (en) * 2015-06-15 2019-02-19 Applied Materials, Inc. Source RF power split inner coil to improve BCD and etch depth performance
CN113555268B (zh) * 2021-07-14 2024-05-17 北京北方华创微电子装备有限公司 半导体工艺设备及刻蚀方法

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2819073B2 (ja) * 1991-04-25 1998-10-30 東京エレクトロン株式会社 ドープド薄膜の成膜方法
JP3044824B2 (ja) * 1991-04-27 2000-05-22 ソニー株式会社 ドライエッチング装置及びドライエッチング方法
US20010054601A1 (en) * 1996-05-13 2001-12-27 Jian Ding Low ceiling temperature process for a plasma reactor with heated source of a polymer-hardening precursor material
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05275379A (ja) * 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd プラズマ処理装置
JP3265047B2 (ja) * 1993-04-26 2002-03-11 松下電器産業株式会社 ドライエッチング装置
JP3204866B2 (ja) * 1994-08-31 2001-09-04 東京エレクトロン株式会社 真空処理装置及び真空処理方法
JPH08321492A (ja) * 1995-03-20 1996-12-03 Hitachi Ltd プラズマ処理方法及び装置
US6007673A (en) * 1996-10-02 1999-12-28 Matsushita Electronics Corporation Apparatus and method of producing an electronic device
JP3251215B2 (ja) * 1996-10-02 2002-01-28 松下電器産業株式会社 電子デバイスの製造装置及び電子デバイスの製造方法
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
US6383300B1 (en) * 1998-11-27 2002-05-07 Tokyo Electron Ltd. Heat treatment apparatus and cleaning method of the same
US6206971B1 (en) * 1999-03-29 2001-03-27 Applied Materials, Inc. Integrated temperature controlled exhaust and cold trap assembly
US6623595B1 (en) 2000-03-27 2003-09-23 Applied Materials, Inc. Wavy and roughened dome in plasma processing reactor
US6777045B2 (en) * 2001-06-27 2004-08-17 Applied Materials Inc. Chamber components having textured surfaces and method of manufacture
US20030190870A1 (en) 2002-04-03 2003-10-09 Applied Materials, Inc. Cleaning ceramic surfaces
KR100472011B1 (ko) * 2003-01-30 2005-03-10 동부아남반도체 주식회사 반도체 소자의 제조 방법
JP4586333B2 (ja) * 2003-05-02 2010-11-24 東京エレクトロン株式会社 熱処理装置、熱処理システム及び熱処理装置の温度制御方法
KR20050027697A (ko) * 2003-09-16 2005-03-21 삼성전자주식회사 반도체 설비용 배기장치의 진공펌프
KR100615601B1 (ko) 2004-09-09 2006-08-25 삼성전자주식회사 반도체 제조설비용 가열배기라인, 그 가열장치 및 제어방법
KR100795662B1 (ko) * 2006-12-28 2008-01-21 동부일렉트로닉스 주식회사 배기 구조가 개선된 웨이퍼 식각 장치
JP5190214B2 (ja) * 2007-03-29 2013-04-24 東京エレクトロン株式会社 ターボ分子ポンプ、基板処理装置、及びターボ分子ポンプの堆積物付着抑制方法
JP5315631B2 (ja) * 2007-06-13 2013-10-16 富士通セミコンダクター株式会社 半導体製造装置及び半導体装置の製造方法
KR20100006009A (ko) * 2008-07-08 2010-01-18 주성엔지니어링(주) 반도체 제조 장치
WO2010088267A2 (en) 2009-01-31 2010-08-05 Applied Materials, Inc. Method and apparatus for etching
WO2011016223A1 (ja) * 2009-08-04 2011-02-10 キヤノンアネルバ株式会社 加熱処理装置および半導体デバイスの製造方法
JP5692772B2 (ja) * 2009-11-26 2015-04-01 国立大学法人東北大学 表面保護膜、接ガス部材、ガス処理装置及びメカニカルポンプ
US8658541B2 (en) * 2010-01-15 2014-02-25 Applied Materials, Inc. Method of controlling trench microloading using plasma pulsing
US20120103524A1 (en) 2010-10-28 2012-05-03 Applied Materials, Inc. Plasma processing apparatus with reduced effects of process chamber asymmetry
US9318341B2 (en) 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate

Also Published As

Publication number Publication date
US20140273520A1 (en) 2014-09-18
CN105027269B (zh) 2018-01-12
TWI609990B (zh) 2018-01-01
KR102163381B1 (ko) 2020-10-08
CN105027269A (zh) 2015-11-04
KR20160002765A (ko) 2016-01-08
JP2016512392A (ja) 2016-04-25
US10037883B2 (en) 2018-07-31
WO2014149143A1 (en) 2014-09-25
TW201443276A (zh) 2014-11-16

Similar Documents

Publication Publication Date Title
US11342167B2 (en) Plasma processing method including cleaning of inside of chamber main body of plasma processing apparatus
KR102430205B1 (ko) 플라즈마 처리 장치
TWI679674B (zh) 蝕刻基板的方法
CN105659366B (zh) 使用远程等离子体cvd技术的低温氮化硅膜
CN107710378B (zh) 多电极基板支撑组件与相位控制系统
JP7062383B2 (ja) アーク放電および点火を防ぎプロセスの均一性を向上させるための特徴を有する静電チャック
TWI574345B (zh) 靜電夾盤
US10361070B2 (en) Method of processing target object
CN113039626B (zh) 边缘环的温度及偏压控制
CN111357075B (zh) 受等离子体加热的窗的多区域冷却
KR20160021907A (ko) 감소된 침식 민감도를 가지는 공정 키트
JP2019160816A (ja) プラズマ処理方法及びプラズマ処理装置
KR102628181B1 (ko) 반도체 제조 장치용의 부품 및 반도체 제조 장치
JP6362670B2 (ja) ポリマーを管理することによるエッチングシステムの生産性の向上
US11264252B2 (en) Chamber lid with integrated heater
WO2020059596A1 (ja) 載置台及び基板処理装置
KR20110081313A (ko) 처리 챔버 세정간격 평균시간을 개선하기 위한 확장된 챔버 라이너
KR100855880B1 (ko) 기판 처리 장치 및 플라즈마 밀도의 제어 방법
JP2015201567A (ja) プラズマ処理装置及びプラズマ処理方法
JP2024033483A (ja) エッチング方法及びプラズマ処理装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170105

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20171012

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20171016

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180316

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20180528

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20180626

R150 Certificate of patent or registration of utility model

Ref document number: 6362670

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250