CN102768933A - 用于蚀刻的方法 - Google Patents
用于蚀刻的方法 Download PDFInfo
- Publication number
- CN102768933A CN102768933A CN2012102169496A CN201210216949A CN102768933A CN 102768933 A CN102768933 A CN 102768933A CN 2012102169496 A CN2012102169496 A CN 2012102169496A CN 201210216949 A CN201210216949 A CN 201210216949A CN 102768933 A CN102768933 A CN 102768933A
- Authority
- CN
- China
- Prior art keywords
- gas
- etch
- substrate
- substep
- etching
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000000034 method Methods 0.000 title claims abstract description 74
- 238000005530 etching Methods 0.000 title claims abstract description 48
- 239000000758 substrate Substances 0.000 claims abstract description 87
- 230000008569 process Effects 0.000 claims abstract description 39
- 239000007789 gas Substances 0.000 claims description 187
- 230000008021 deposition Effects 0.000 claims description 16
- 229920000642 polymer Polymers 0.000 claims description 14
- 239000000463 material Substances 0.000 claims description 13
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 10
- 229910052710 silicon Inorganic materials 0.000 claims description 10
- 239000010703 silicon Substances 0.000 claims description 10
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 9
- 239000001301 oxygen Substances 0.000 claims description 9
- 229910052760 oxygen Inorganic materials 0.000 claims description 9
- 230000004044 response Effects 0.000 claims description 5
- 239000000203 mixture Substances 0.000 claims description 3
- 238000006243 chemical reaction Methods 0.000 claims description 2
- 238000006116 polymerization reaction Methods 0.000 claims description 2
- 239000002210 silicon-based material Substances 0.000 claims description 2
- 238000009832 plasma treatment Methods 0.000 claims 4
- 238000000151 deposition Methods 0.000 description 12
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 10
- 230000000694 effects Effects 0.000 description 6
- 239000001307 helium Substances 0.000 description 6
- 229910052734 helium Inorganic materials 0.000 description 6
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 6
- 229910052786 argon Inorganic materials 0.000 description 5
- 230000008859 change Effects 0.000 description 5
- 238000001020 plasma etching Methods 0.000 description 5
- XPDWGBQVDMORPB-UHFFFAOYSA-N Fluoroform Chemical compound FC(F)F XPDWGBQVDMORPB-UHFFFAOYSA-N 0.000 description 4
- 238000005325 percolation Methods 0.000 description 4
- 238000007726 management method Methods 0.000 description 3
- 238000012544 monitoring process Methods 0.000 description 3
- BCCOBQSFUDVTJQ-UHFFFAOYSA-N octafluorocyclobutane Chemical compound FC1(F)C(F)(F)C(F)(F)C1(F)F BCCOBQSFUDVTJQ-UHFFFAOYSA-N 0.000 description 3
- 235000019407 octafluorocyclobutane Nutrition 0.000 description 3
- 239000004341 Octafluorocyclobutane Substances 0.000 description 2
- 230000000740 bleeding effect Effects 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 239000011261 inert gas Substances 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- SFZCNBIFKDRMGX-UHFFFAOYSA-N sulfur hexafluoride Chemical group FS(F)(F)(F)(F)F SFZCNBIFKDRMGX-UHFFFAOYSA-N 0.000 description 2
- 229960000909 sulfur hexafluoride Drugs 0.000 description 2
- 241000237509 Patinopecten sp. Species 0.000 description 1
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 1
- 229910052782 aluminium Inorganic materials 0.000 description 1
- 238000002048 anodisation reaction Methods 0.000 description 1
- 239000011324 bead Substances 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000011109 contamination Methods 0.000 description 1
- 230000002950 deficient Effects 0.000 description 1
- 238000001514 detection method Methods 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000014509 gene expression Effects 0.000 description 1
- 238000003306 harvesting Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 238000009413 insulation Methods 0.000 description 1
- 238000010849 ion bombardment Methods 0.000 description 1
- 230000002045 lasting effect Effects 0.000 description 1
- 238000004377 microelectronic Methods 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- 239000000047 product Substances 0.000 description 1
- 235000020637 scallop Nutrition 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 230000003068 static effect Effects 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76825—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76822—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
- H01L21/76826—Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/334—Etching
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical & Material Sciences (AREA)
- Analytical Chemistry (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Drying Of Semiconductors (AREA)
Abstract
本发明的实施例提供了用于蚀刻的方法。在一个实施例中,提供了一种用于在等离子蚀刻反应器中蚀刻衬底的方法,包括以下步骤:使背侧处理气体在衬底与衬底支撑组件之间流动;并循环地蚀刻衬底上的层。
Description
分案申请说明
本申请是PCT申请第PCT/US2010/022223号于2011年08月01日进入中国国家阶段得到的、申请号为201080006330.1、申请日为2010年01月27日、发明名称为“用于蚀刻的方法和设备”的发明专利申请的分案申请。
技术领域
本发明的实施例一般地涉及用于蚀刻的方法和设备,更具体而言涉及适于蚀刻微机电系统(Micro-Electro-Mechanical;MEMS)器件等的方法和设备。
背景技术
针对微机电系统(MEMS)器件的需求已对于处理设备公司带来新的挑战。一个挑战是,提供适于针对用于制造MEMS结构的材料的有效等离子蚀刻的设备。举例来说,为了成功地以商业可行的规模制造MEMS结构,用于蚀刻的处理设备必须能够维持良好的关键尺寸控制以及掩模选择性。额外针对用于光学器件的MEMS结构,处理设备必须产生足够平滑的侧壁,以避免对获得性能目标产生抑制的效果。
常用于MEMS结构的材料为硅。用于MEMS制造的硅蚀刻通常是在反应性离子蚀刻(RIE)反应器中进行。典型的RIE反应器一般具有受限的小型等离子产生区域以及受限的功率能力,此使得难以达到较大衬底规格的良好蚀刻均一性,且亦限制了蚀刻速率。再者,RIE反应器一般在衬底中央蚀刻较快(相对于衬底边缘),而此限制了可能的产物产率以及品质。
部分的RIE反应器采用循环式蚀刻处理,其包括数个配置步骤(recipe step),例如:蚀刻与沉积,或蚀刻、闪光(flash)和沉积。循环式蚀刻处理可采用时间复用气体调制(time multiplexed gas modulation;TMGM)系统或是Bosch系统以连续提供蚀刻剂及沉积物质。沉积物质在先前蚀刻过的表面上提供保护性薄膜,以保护表面(通常为沟槽<trench>的侧壁)免受进一步蚀刻的作用。当愈来愈深的沟槽形成,则重复上述二步骤。对于循环式蚀刻处理的不良控制会不利地使侧壁的粗糙度增加,而导致微电子器件产生缺陷。
因此,存在对改良的用于蚀刻的方法和设备的需求。
发明内容
本发明的实施例涉及衬底蚀刻方法和设备。在一个实施例中,提供了一种用于在等离子蚀刻反应器中蚀刻衬底的方法,包括以下步骤:使背侧处理气体在衬底与衬底支撑组件之间流动;并循环地蚀刻衬底上的层。
在另一实施例中,提供了一种用于在等离子蚀刻反应器中蚀刻衬底的方法,包括以下步骤:循环地蚀刻衬底上的目标层;响应于正被蚀刻的特征结构的当前深宽比而调整循环蚀刻过程中的配置变量。
在另一实施例中,提供了一种等离子蚀刻反应器,其包括腔室主体、衬底支撑组件、顶壁以及可更换的间隔件。衬底支撑组件位于腔室主体的处理容积中。顶壁设置在腔室主体上,并覆盖处理容积。可更换的间隔件设置在顶壁与腔室主体之间。可更换的间隔件从多种可更换的间隔件选出,其设定了顶壁相对于衬底支撑组件的倾斜度与高度中的至少一者。
在另一实施例中,提供了一种等离子蚀刻反应器,其包括腔室主体、衬底支撑组件、顶壁以及挡流板。腔室组件具有抽吸管道。衬底支撑组件设置在腔室主体的处理容积中。顶壁设置在腔室主体上,并覆盖处理容积。挡流板系设置在抽吸管道中,并具有多个孔以允许气体通过挡流板而流向抽吸管道的下游。
附图说明
为让本发明的上述特征更详细易懂,可配合参考实施例给出以上简单总结的本发明的更具体说明,其中一些在附图中示出。但是,须注意的是,附图仅图示了本发明的特定实施例,而因此并非意在限定本发明的实旨与范围,本发明可适用于其他等同有效的实施例。
图1衬底蚀刻反应器的一个实施例的剖视示意图。
图2A示出了根据本发明的一个实施例的快速气体交换系统。
图2B示出了根据本发明的一个实施例的另一快速气体交换系统。
图3示出了衬底支撑组件的一个实施例的部分剖视示意图。
图4A至4C是衬底蚀刻反应器的各种局部侧视图,图示了不同的间隔件。
图5A至5E是间隔件的可选实施例的仰视图。
图6是挡流板的一个实施例的剖面视图。
图7是图6的挡流板的后视图。
图8是挡流板的一个实施例的剖面视图。
图9是图8的挡流板的后视图。
图10是蚀刻处理的一个实施例的流程图。
图11是蚀刻处理的另一实施例的流程图。
为了便于理解,在合适处使用相同的附图标记表示附图中相同的元件。然而,须注意的是,虽然附图仅图示了本发明的特定实施例,但其并非用以限定本发明的范围,本发明可适用于其他等同有效的实施例。。
具体实施方式
本发明一般地涉及用于蚀刻的设备和方法。虽然此处所述的设备及方法对于MEMS应用的硅进行蚀刻而言是特别有利的,但亦可预期到本发明的实施例不限于使用硅蚀刻的应用,而是可有利地用于蚀刻其它类型材料及/或用于其它蚀刻反应器中。为了对本发明的设备及其使用方法的新颖性有更佳的了解,此后参照附加图式来进行说明。
图1是蚀刻反应器100的一个实施例的剖视图。蚀刻反应器100包括下腔室主体102、上腔室主体104、及顶壁106,它们围绕出处理容积108。顶壁106可以为平坦或是具有其它几何形状。在一实施例中,顶壁106为穹顶状。可更换的间隔件110设置在顶壁106与上腔室主体104之间,由此,可以选择性地改变顶壁相对于上腔室主体104的倾斜度及/或高度,将于下文进一步描述。
RF线圈112设置在顶壁106上方,并通过匹配电路116而耦合至RF源114。顶壁106可传导RF功率,使得施加至线圈112的功率可以电感耦合至反应器100的处理容积108中所设置的气体并将其激发,以维持等离子170。照惯例,施加至线圈112的功率已知为源功率。
可以以介于从约10瓦至约5000瓦的范围内的功率、以在约12MHz至约13.5MHz的范围内的射频的方式提供源功率。
上腔室主体104包括抽气通道118,该抽气通道118将反应器100的处理容积108经由节流阀122连接到泵120。可操作泵120与节流阀122以控制反应器100的处理容积108中的压力。泵120亦移除蚀刻副产物。挡流板180布置在抽气通道118中以使泵120的污染最小化。
反应器100具有与其耦接的快速气体交换系统124,该快速气体交换系统124透过喷嘴126而提供处理气体及/或其它气体至处理容积108,而喷嘴126设置在上腔室主体104的内部周围或其它适合位置。快速气体交换系统124选择性地允许任何单一气体或是气体组合以提供至处理容积108。在一实施例中,快速气体交换系统124具有三个输送管线128,且各输送管线128耦接至不同的气体源。输送管线128可以耦接至相同或不同的喷嘴126。
在图1所示的实施例中,各输送管线128包括一第一阀130、质量流量计132以及第二阀134。第二阀134耦接至一共用T形管(tee)138,且该T形管138耦接至喷嘴126。气体由质量流量计132流至内部容积所流经的管道的长度小于约2.5m,藉此,允许气体之间较快速的切换时间。快速气体交换系统124可以藉由布置在T形管138与喷嘴126之间的隔离阀136而与反应器100的处理容积108相隔离。
排气管道166耦接于隔离阀136与T形管138之间,以允许残留的气体由快速气体交换系统124清除,而不会进入反应器100。设置关断阀164,以当气体输送至反应器100的处理容积时108,关闭排气管道166。
图2A及2B图示了快速气体交换系统的可选实施例。在图2A的实施例中,快速气体交换系统260包括:用于将气体导入第一输送管道268及第二输送管道269的:第一气体面板(gas panel)261(具有第一流量控制器262)、第二气体面板263(具有第二流量控制器264)、数个流量限制器265及阀266;以及用于排出气体的出口267。第一输送管道268及第二输送管道269耦接至反应器100的相同及/或不同喷嘴126。尤其是,图2A标出四个流量限制器265以及八个阀266,但亦可改变流量限制器265和阀266的数量。快速气体交换装置260在第一蚀刻步骤与第二蚀刻步骤期间,由第一气体面板261供应例如是六氟化硫(SF6)的第一气体至反应器100,并且亦在沉积步骤期间,由第二气体面板263供应例如是八氟环丁烷(perfluorocyclobutane;C4F8)的第二气体至反应器100。在一实例中,第一气体面板261和第二气体面板263可操作以约1000sccm输送SF6及C4F8、以约500sccm输送氦气,并以约200sccm输送氧气(O2)及氩气。在一可选实施例中,快速气体交换装置260可以还包括第三气体面板,其包括等离子维持气体(例如氩气),并可操作以连续输送气体至反应器100。
在操作过程中,当来自第一气体面板261的气体供应至处理容积108时,第一流量控制器262可将气体导引至第一输送管道268、或第二输送管道269、或两者。流量限制器265可以防止气体再进入第一气体面板261。当正将气体供应至反应器100时,可操作阀266以开启通往反应器100的流动路径,并关闭通往出口267的流动路径。当蚀刻循环进行步骤切换时,来自第二气体面板263的气体可以采取与第一气体面板261相似的方式而供应至反应器100。当正将来自第二气体面板263的气体供应至反应器100时,可操作阀266以关闭从第一气体面板通往反应器100的流动路径,并开启通往出口267的流动路径,以将流动管路中的气体排出。在一示例中,于沉积步骤期间,来自第一气体面板261的气体可以供应至反应器100,而于蚀刻步骤期间,来自第二气体面板263的气体可以供应至反应器100。气体面板261和263两者均可以用于沉积及蚀刻步骤两者。在可选实施例中,于沉积与蚀刻步骤期间,第三气体面板可用于连续供应等离子维持气体(例如氩气)至反应器100。
在图2B的实施例中,快速气体交换装置300包括:用于将气体导引至反应器100的处理容积108中的、具有第一流量控制器340、第二流量控制器345及第三流量控制器347的第一气体面板320;第一排气装置360;及/或第二排气装置370。第一气体面板320可包括多种气体322,包括但不限于为六氟化硫、氧气、氩气、三氟甲烷(CHF3)及/或氦气。流量控制器340、345、347的各者可包括流量控制阀,以将气体导引至排气装置360、370及/或反应器100的处理容积108。流量控制阀可包括气动操作部(pneumatic operation),以允许快速的反应,并提供数种流动配置。此外,流量控制器340、345、347可以与操作系统连通,以控制并监控阀的操作。流量限制器346、348可以耦接至第三流量控制器347,以限制通往第一排气装置360及/或反应器100的处理容积108的流动。
在一实施例中,第一流量控制器340可配置以将气体导引至第一排气装置360及/或第二流量控制器345。第二流量控制器345可配置以将气体导引至反应器100的处理容积108及/或第三流量控制器347。第三流量控制器347可配置以使气体通过流量限制器348而导引至第二排气装置370及/或通过流量限制器346而导引至腔室200。
快速气体交换装置300还可包括:用于将气体导引至反应器100的处理容积108中的、具有第一流量控制器350、第二流量控制器355及第三流量控制器357的第二气体面板330;第一排气装置360;及/或第二排气装置370。第二气体面板330可包括多种气体332,包括但不限于为八氟环丁烷、氧气、氩气、三氟甲烷及/或氦气。流量控制器350、355、357的各者可包括流量控制阀,以将气体导引至排气装置360、370及/或反应器100的处理容积108。流量控制阀可包括气动操作部,以允许快速的反应,并提供数种流动配置。此外,流量控制器350、355、357可以与操作系统连通,以控制并监控阀的操作。流量限制器356、358可以耦接至第三流量控制器357,以限制通往第二排气装置370及/或反应器100的处理容积108的流动。
在一实施例中,第一流量控制器350可配置以将气体导引至第一排气装置360及/或第二流量控制器355。第二流量控制器355可配置以将气体导引至反应器100的处理容积108及/或第三流量控制器357。第三流量控制器357可配置以使气体通过流量限制器358而导引至第二排气装置370及/或通过流量限制器356而导引至反应器100的处理容积108。
在操作过程中,并行的气体管路325、335可配置以独立地将气体通过一系列的流量控制器及流量限制器(例如流量控制器345、347及355、357,及特别是流量限制器346、356)而输送至反应器100的处理容积108,以允许快速的气体切换。亦可操作气体管路325、335以独立地及/或直接地将气体快速输送至反应器100的处理容积108中,以消除通过流量限制器346、356所观察到的气体延迟(gas delay)。在可选的实施例中,气体管路325、335在进入反应器100之前可以彼此结为一体(tie-in)。利用快速气体交换装置300可以提供许多气体输送及配置。在一实施例中,第一气体(或气体的组合)可以直接地输送进入反应器100的处理容积108(例如通过气体管路325),且第二气体(或气体的组合)可以脉冲通过气体管路335的流量限制器356以允许可控的输送选项。在快速气体交换装置300中的各个阀可包括止回阀,以防止输送通过气体管路325、335的气体往回扩散。可操作流量控制器340、350以导引气体通过与排气装置360连通的废弃物前级管路(dump foreline)。可操作流量控制器347、357以导引气体通过与排气装置370连通且位于TGV上方的废弃物管路。
在一实施例中,快速气体交换装置300可包括可选的气体管路386,该气体管路386与气体管路325、335的一者或两者连通。气体管路386可包括可选的流量控制器384及/或可选的流量限制器382。可操作气体管路386以将气体导引至排气装置380,而将来自气体管路的气体排出。
再往回参照图1,衬底支撑组件140布置在反应器100的处理容积108中。衬底支撑组件140包括安装在热隔离件144上的静电夹盘142。热隔离件144将静电夹盘142与杆172绝缘,而杆172将静电夹盘142支撑在下腔室主体102的底部上方。
升举销146穿过衬底支撑组件140设置。升举板148设置于衬底支撑组件140下方,并且可以由升降机155致动,而选择性地使升举销146移位,以将衬底150举起及/或放置在静电夹盘142的上表面152上方。
静电夹盘142包括至少一个电极(图中未示),将电能供给该电极可以将衬底150静电地保持在静电夹盘142的上表面152上。静电夹盘142的电极透过匹配电路158而耦接至偏压功率源156。偏压功率源156可以选择性地供应电能给静电夹盘142的电极,以控制蚀刻期间的离子的方向性(directionality)。
当连续施加源功率时,可以对偏压功率进行脉冲化,例如持续一段时间重复地储存或收集能量,并接着在另一段时间快速地释放能量,以输送瞬时增加的功率量。具体而言,可以利用通过控制系统设定脉冲能力的产生器来脉冲该偏压功率,以提供功率为开启所占的时间百分比,其称之为“占空周期(duty cycle)”。在一实施例中,脉冲偏压功率的开启时间与关闭时间在整个蚀刻循环中是均一的。举例来说,若功率开启为约3msec,关闭为15msec,则占空周期为约16.67%。以每秒周期数(cyclesper second)或赫兹(Hz)计的脉冲频率等于1.0除以开启与关闭时间(以秒计)的总和。举例来说,当偏压功率开启约3msec,关闭约15msec,即总共约18msec时,则脉冲频率为(每秒周期数)约为55.55Hz。在一实施例中,可以使用在蚀刻循环过程中,开启(关闭)时间会改变的特定脉冲模式(specialized pulsing profile)。在一实施例中,通过改变施加至衬底的偏压功率,蚀刻循环可以在沉积及/或蚀刻步骤之间切换。偏压功率被脉冲化,以协助减少沟槽侧壁产生扇形(scallop)、增进光阻选择性、改善蚀刻速率及防止材料界面底切(undercut)。
额外参照图3,背侧气体源160耦接穿过衬底支撑组件140以提供一或多个气体至界定在衬底150与静电夹盘142的上表面152之间的空间302。由背侧气体源160所提供的气体可包括He及/或背侧处理气体。背侧处理气体为传送自衬底与衬底支撑件之间的气体,其会藉由与腔室中的物质(例如处理气体、蚀刻副产物、掩模或其它设置在衬底上的层,或目标用于蚀刻的物质)反应而影响蚀刻循环过程中的蚀刻或聚合的速率。在一实施例中,背侧处理气体为含氧气体,例如O2。在一实施例中,针对硅蚀刻应用,背侧气体中的He与O2的比例为约50∶50至约70∶30(以体积计或质量计)。可预期亦可使用其它背侧处理气体以控制在衬底边缘附近的处理。可预期背侧处理气体的应用可有利地用于单一步骤蚀刻处理以及如上述的循环式蚀刻处理。再者,相对于使处理气体传输通过喷嘴126,由衬底边缘下方提供背侧处理气体系提供了对于蚀刻处理的更具目标性的控制。
为了使背侧气体源160所提供的处理气体到达衬底150的边缘,背侧气体从衬底150边缘下方的渗漏率(leakage rate)高于通常的背侧气体系统的背侧气体渗漏率。在一实施例中,渗漏率(1eak rate)的提高藉由将衬底150与静电夹盘142的上表面152之间的空间302中的气体压力维持在约4和26托之间。在另一实施例中,该压力系维持在约10和22托之间。在又一实施例中,该压力维持在约14和20托之间。可构思亦可以藉由在静电夹盘142的上表面152和对衬底150进行支撑的突缘306中设置凹口(304,以虚线显示)或其它特征结构来达到渗漏率。
图4A至4C是衬底蚀刻反应器100的各个局部侧视图,其示出了间隔件110的不同实施例。如上所述,间隔件110可以用于改变顶壁106以及设置于其上的线圈112相对于设置在衬底支撑组件140中的电极162的距离及倾斜度。间隔件110可以由铝制成。间隔件110的内侧表面可以经过阳极电镀(anodize)以抵抗等离子。间隔件110为可堆叠(与其它间隔件),并且可以改装在已有的反应器上。
在图4A所示的实施例中,间隔件1101用于设定线圈112与电极162的距离d1。间隔件1101的上表面和下表面平行,使得线圈112的中心线与衬底支撑件的中心线404同心。
在图4B所示的实施例中,提供有间隔件1102,其高度H2不同于图4A的间隔件1101的高度H1。这使得图4B中的线圈112与电极162之间的距离d2不同于图4A中的距离d1。在一实施例中,d1与d2之间的差异为约3cm或其倍数。
认为高度(d)的增加会藉由提供较长的平均自由路径(mean freepath)而使得离子轰击增加,这进而允许在蚀刻循环过程中更有效的聚合物移除,并增加蚀刻速率。另一个优点是源线圈(source coil)会更远离衬底,其理论上被认为能提供较佳的均一性。最大的高度由反应器的顶部与反应器上方的设备之间的间隙(clearance)来决定,在一实例中,该最大的高度为约11cm。因此,可选择高度(d)以利于不同的蚀刻应用。
在图4C所示的实施例中,使用间隔件1103。间隔件1103具有上表面406,该上表面406以相对于间隔件的下表面408呈角度410而定向,该下表面408被搁置在上腔室主体104(图中未示)上。此使得线圈112相对于电极162的倾斜度改变。这由界定在线圈112的中心线402与衬底支撑组件140的中心线404之间的角度412表示。
藉由使用所选择的间隔件而将线圈112以预定定向相对于电极162放置,可改变等离子170的特性、位置及/或属性,藉以调整蚀刻结果。举例来说,将倾斜度选择为大于0的角度412以补偿腔室的不对称性(asymmetry),例如狭缝阀位置所造成的非均一电气特性或泵120位置所致的抽气不对称性。
亦可采其它方式而使用间隔件以调整等离子特性。举例来说,可选择间隔件的材料以影响处理结果。另外,可选择间隔件的内径而使其具有某种几何形状,其亦影响处理结果。
图5A至5E示出具有不同内径形状的间隔件的选择性实施例。在图5A所示的实施例中,示出了间隔件110。间隔件110包括外径502与内径504,而内径504与外径502为同中心。
在图5B所示的实施例中,其显示的间隔件510的内径504与外径502不同心。此使得间隔件510在一区域508相对于另一区域506而具有更大质量(mass)。间隔件510可被定向成使得区域506、508相对于狭缝阀门及/或导向泵120的通道而定位,以产生及/或补偿蚀刻结果中的不对称性。
在图5C所示的实施例中,示出具有内径504与外径502的间隔件520。内径504与外径502为基本同心。亦可构思内径504与外径502不同心。内径504具有由其朝向间隔件520的中心延伸的一或多个突出部512,而突出部512产生区域514,该区域514的质量大于间隔件520的其它区域516。如上所讨论的,可相对于导向该泵的通道及/或狭缝阀来定向该具有较大质量的区域514,以产生期望的蚀刻效应。可构思间隔件520可包括一个以上的突出部512,且可利用突出部512的分布来产生区域514、516。
在图5D所示的实施例中,示出了间隔件530。间隔件530包括同心的内径504与外径502。亦可构思内径504与外径502不同心。多个切口部522(例如:凹口、沟槽或其它几何形状)形成在间隔件530的内径504中。可选择切口部522的数量、分布及密度以产生期望的蚀刻效应。举例来说,如图5E所示,稠密集中性(dense concentration)的切口部522位于间隔件540的一侧,以产生区域534,该区域534的质量大于区域532,该区域532的切口部522的密度较大,区域532具有较小质量。如上所讨论的,可选择具有较大密度的区域在腔室中的定向,以产生期望的蚀刻结果。
图6及7为挡流板180的一实施例的剖视图及后视图。挡流板180由陶瓷或其它合适材料制成。在一实施例中,挡流板180由Al2O3制成。
挡流板180设置在抽气通道118(图6中所示的虚线)中。在一实施例中,挡流板180为细长的(elongated)且跨越抽气通道118的整个横截面。挡流板180包括面向反应器100的处理容积108的前侧702,以及面朝向泵120(图6中未示出)的背侧704。前侧702可以为平坦或弯曲的。在图6所示的实施例中,前侧702以一半径弯曲,该半径具有在处理容积108的中心的原点,其与衬底支撑件的中心线404(示于图4A至4C)对准(即,前侧702为凹形)。挡流板180的背侧704是平坦的。
多个孔706形成穿过挡流板180,以允许来自处理容积108的气体通往泵120。孔706被配置以防止等离子通过挡流板180而进入抽气通道118,从而使挡流板180下游的材料沉积最少化。已证实挡流板180在使泵120上的聚合物沉积最少化方面是特别有效的。在一实施例中,15个孔706形成穿过挡流板180。在一实施例中,穿过挡流板180的孔706排置成三列,例如,三列孔,每列有五个孔。
图8及9为挡流板800的另一实施例的剖视图及后视图。挡流板800由陶瓷或其它合适材料制成。挡流板800可以设置在抽气通道118中,以防止聚合物污染抽气部件。
挡流板800包括面向反应器100的处理容积108的前侧802,以及面朝向泵120(图8中未示出)的背侧804。前侧802可以为平坦或弯曲的。在图8图所示的实施例中,前侧802以一半径弯曲,该半径具有在处理容积108的中心的原点,其与衬底支撑件的中心线404(示于图4A至4C图)对准。
挡流板800的背侧804亦可以为平坦或弯曲的。在图8所示的实施例中,挡流板800的背侧804为弯曲的,且与前侧802同心。
多个孔806形成穿过挡流板800,以允许来自处理容积108的气体通往泵120。孔806被配置以防止等离子进入抽气通道118。在一实施例中,15个孔806形成穿过挡流板800。在一实施例中,穿过挡流板800的孔806排置成三列,例如三列孔,且每列有五个孔。
图10示出用于蚀刻衬底的方法的一实施例的流程图。该方法可以在蚀刻反应器100或其它适合的蚀刻反应器中实施。方法开始于步骤1002,其将衬底传送到设置在反应器中的衬底支撑组件140。
在步骤1004,背侧气体被提供至衬底与衬底支撑组件之间的间隙空间,并从衬底150边缘的下方进入处理容积108。在一实施例中,背侧气体含有背侧处理气体。背侧气体亦可含有氦气或其它惰性气体。背侧处理气体影响至少一个蚀刻或沉积子步骤,将于下文进一步描述。
在一实施例中,背侧处理气体包括聚合物形成气体。在一实施例中,聚合物形成气体为含氧气体,例如O2。氦气或其它惰性气体亦存在于背侧气体中。在一实施例中,背侧气体中的氦气与背侧处理气体的比例为约50∶50至约70∶30(以重量或质量计)。所提供的背侧气体的压力在约4和26托之间,或其它适于确保背侧气体由晶片边缘下方的充足渗漏的其它压力,藉此,背侧处理气体影响衬底表面上的处理。
在步骤1006,进行循环式蚀刻处理。循环式蚀刻处理包括至少一个蚀刻子步骤1008,以及至少一个沉积子步骤1010。子步骤重复执行直到达到终点。终点可以通过时间、流出物监控、等离子监控、厚度监控或其它合适的终点检测方法来判定。
在适于蚀刻硅的一实施例中,蚀刻子步骤1008包括提供含氟气体。适合的含氟气体包括SF6、NF3、CF4、CHF3、ClF3、BrF3、IF3或其衍生物。蚀刻子步骤1008的持续时间为小于约7秒。在一实施例中,蚀刻子步骤1008介于1和3秒之间。蚀刻子步骤1008的第一部分可包括将含氧气体导引通过快速气体交换装置,以从正被蚀刻的特征结构的底部、水平表面较佳地蚀刻聚合物,藉以暴露出硅材料以供子步骤1008的第二部分的后续蚀刻。
聚合物沉积子步骤1010可包括提供聚合物形成气体通过快速气体歧管。聚合物形成气体可包括含碳气体,例如C4F8。可使用其它适合的聚合物形成气体。子步骤1010的持续时间与子步骤1008的持续时间相似。
子步骤1008至子步骤1010(以及反之)之间的快速切换藉由使用快速气体交换系统124中的质量流量控制器来增强,而快速气体交换系统124具有在300ms范围内的响应时间。子步骤1008与1010之间的较快切换造成较快的蚀刻速率与较少的特征结构侧壁的扇形产生(scalloping)。
在子步骤1012重复子步骤1008与1010,直到达到终点或是其它期望点。可预期一旦达到终点,则可省略在重复子步骤1012的一系列中的最后子步骤1010。
图11示出用于蚀刻衬底的方法的一实施例的流程图。该方法可以在蚀刻反应器100或其它适合的蚀刻反应器中实施。
方法开始于步骤1102,其将衬底传送到设置在反应器中的衬底支撑组件140。在步骤1104,背侧气体被提供至衬底与衬底支撑组件之间的间隙空间,并从衬底150边缘的下方进入处理容积108。在一实施例中,背侧气体含有如上所述的背侧处理气体。
在步骤1106,进行循环式蚀刻处理。循环式蚀刻处理包括至少一个蚀刻子步骤1108,以及至少一个沉积子步骤1110。在子步骤1114重复子步骤1108和1110,直到达到终点或是其它期望点。可预期一旦达到终点,则可省略在重复子步骤1114的一系列中的最后子步骤1110。
在一个或多个重复子步骤1114期间,执行配置管理子步骤1116。配置管理子步骤1116响应于正被蚀刻的特征结构的当前深宽比(aspectratio)来调整某种配置变量。举例来说,藉由各个重复子步骤1114,蚀刻特征结构的深度增加,藉此,被蚀刻的特征结构的深宽比增加。当深宽比增加,若配置维持固定,则蚀刻效能改变。配置管理子步骤1116藉由调整某种处理配置变量以补偿之,使得可维持蚀刻效能及/或针对特征结构的当前深宽比而使蚀刻效能最佳化。举例来说,在较深的沟槽中,必须管理侧壁聚合物的厚度,以避免沟槽闭合而影响后续的蚀刻。因此,在接续循环期间,当深宽比持续增加时,调整(例如:上升或下降)一或多个可变处理配置,以维持蚀刻效能及/或使蚀刻效能最佳化。部分的变量包括偏压功率、偏压占空周期、偏压功率脉冲、衬底支撑组件温度、源功率、腔室压力、处理气体流速、及处理气体组成等。
已证实前述的处理与设备相较于传统的处理和传统的设计而有大幅改善。针对跨越衬底的更均一的蚀刻结果,可以使用背侧处理气体以控制接近衬底边缘的CD偏差(CD bias)。
虽然本发明已以优选实施例揭示如上,然而可在不脱离本发明的精神和范围的情况下作出其他和进一步的实施例,本发明的范围由所附权利要求决定。
Claims (14)
1.一种用于蚀刻的方法,包括以下步骤:
在等离子处理腔室中,使用包括循环的蚀刻子步骤和沉积子步骤的蚀刻配置来在硅衬底中蚀刻特征结构,直到达到终点,其中所述特征结构的深宽比随着直到达到所述终点之前的时间期间所执行的循环的蚀刻子步骤和沉积子步骤的数量而增大;以及
在蚀刻期间响应于当前的深宽比来调整所述蚀刻配置的配置变量,以在所述特征结构变得更深时管理侧壁聚合物的厚度,以避免所述特征结构闭合并避免影响后续的蚀刻。
2.根据权利要求1所述的方法,其中,所述蚀刻配置的所述蚀刻变量包括偏压功率、偏压占空周期、偏压功率脉冲、衬底支撑组件温度、源功率、腔室压力、处理气体流速及处理气体组成中的至少一项。
3.根据权利要求1所述的方法,其中,循环地蚀刻所述硅衬底包括以下步骤:
在所述蚀刻子步骤期间,由含氟气体形成等离子;以及
在所述沉积子步骤期间,使用含碳气体。
4.根据权利要求3所述的方法,其中,所述蚀刻子步骤的持续时间小于约7秒。
5.根据权利要求3所述的方法,其中,所述蚀刻子步骤还包括以下步骤:
将含氧气体与所述含氟气体导入,以较佳地从正被蚀刻的特征结构的底部、水平表面蚀刻聚合物,从而暴露硅材料以供所述蚀刻子步骤的第二部分的后续蚀刻。
6.一种用于蚀刻的方法,包括以下步骤:
在等离子处理腔室中,使用包括循环的蚀刻子步骤和沉积子步骤的蚀刻配置来在硅衬底中蚀刻特征结构,直到达到终点,所述蚀刻子步骤使用含氟气体,所述沉积子步骤使用含碳气体,其中所述特征结构的深宽比随着直到达到所述终点之前随时间执行的循环的蚀刻子步骤和沉积子步骤的数量而增大,并且所述蚀刻子步骤的持续时间小于约7秒;以及
在蚀刻期间响应于当前的深宽比来调整所述蚀刻配置的配置变量,以在所述特征结构变得更深时管理侧壁聚合物的厚度,以避免所述特征结构闭合而影响后续的蚀刻,其中所述蚀刻配置的所述蚀刻变量包括偏压功率、偏压占空周期、偏压功率脉冲、衬底支撑组件温度、源功率、腔室压力、处理气体流速及处理气体组成中的至少一项。
7.根据权利要求1或6所述的方法,其中,调整所述蚀刻配置的所述配置变量包括以下步骤:
使所述蚀刻配置的所述变量上升和下降的至少一者。
8.根据权利要求1或6所述的方法,还包括以下步骤:
使背侧处理气体在衬底与设置在等离子处理腔室中的衬底支撑组件之间流动,在所述衬底上设置有硅层,其中所述背侧处理气体是在所述等离子处理腔室中通过与材料反应而影响蚀刻循环过程中的蚀刻或聚合速率的气体。
9.根据权利要求8所述的方法,其中,所述背侧处理气体是含氧气体。
10.根据权利要求9所述的方法,还包括以下步骤:
使He与所述背侧处理气体一起在所述衬底与所述衬底支撑组件之间流动。
11.根据权利要求9所述的方法,其中,所述含氧气体是O2。
12.根据权利要求3或6所述的方法,其中,在所述衬底与所述衬底支撑组件之间流动的He与O2以体积或质量计的比例为约50∶50至约70∶30。
13.根据权利要求3或6所述的方法,其中,所述含氟气体包括下列至少一者:SF6、NF3、CF4、CHF3、ClF3、BrF3、IF3、或其衍生物。
14.根据权利要求3或6所述的方法,其中,所述含碳气体是C4F6。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14892809P | 2009-01-31 | 2009-01-31 | |
US61/148,928 | 2009-01-31 | ||
CN201080006330.1A CN102301458B (zh) | 2009-01-31 | 2010-01-27 | 用于蚀刻的方法和设备 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201080006330.1A Division CN102301458B (zh) | 2009-01-31 | 2010-01-27 | 用于蚀刻的方法和设备 |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102768933A true CN102768933A (zh) | 2012-11-07 |
CN102768933B CN102768933B (zh) | 2017-06-30 |
Family
ID=42396315
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201080006330.1A Active CN102301458B (zh) | 2009-01-31 | 2010-01-27 | 用于蚀刻的方法和设备 |
CN201210216949.6A Active CN102768933B (zh) | 2009-01-31 | 2010-01-27 | 用于蚀刻的方法 |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201080006330.1A Active CN102301458B (zh) | 2009-01-31 | 2010-01-27 | 用于蚀刻的方法和设备 |
Country Status (5)
Country | Link |
---|---|
US (2) | US8937017B2 (zh) |
KR (2) | KR101795658B1 (zh) |
CN (2) | CN102301458B (zh) |
TW (2) | TWI598952B (zh) |
WO (1) | WO2010088267A2 (zh) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN107275202A (zh) * | 2016-04-07 | 2017-10-20 | 中芯国际集成电路制造(上海)有限公司 | 刻蚀方法及半导体结构的形成方法 |
Families Citing this family (89)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR101795658B1 (ko) | 2009-01-31 | 2017-11-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 에칭을 위한 방법 및 장치 |
US9318341B2 (en) * | 2010-12-20 | 2016-04-19 | Applied Materials, Inc. | Methods for etching a substrate |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
KR102021510B1 (ko) * | 2011-06-30 | 2019-09-16 | 어플라이드 머티어리얼스, 인코포레이티드 | 고속 가스 교환, 고속 가스 전환 및 프로그램 가능한 가스 전달을 위한 방법 및 장치 |
US9679751B2 (en) | 2012-03-15 | 2017-06-13 | Lam Research Corporation | Chamber filler kit for plasma etch chamber useful for fast gas switching |
CN102751160B (zh) * | 2012-07-13 | 2016-02-10 | 中微半导体设备(上海)有限公司 | 刻蚀装置及对应的刻蚀方法 |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
JP6403577B2 (ja) * | 2013-02-05 | 2018-10-10 | 株式会社Kokusai Electric | クリーニング方法、半導体装置の製造方法、基板処理装置及びプログラム並びにクリーニング終了判定方法 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
CN105027269B (zh) | 2013-03-15 | 2018-01-12 | 应用材料公司 | 通过聚合物管理提高蚀刻系统的生产率 |
WO2015026491A1 (en) * | 2013-08-19 | 2015-02-26 | Applied Materials, Inc. | Apparatus for impurity layered epitaxy |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US20150371889A1 (en) * | 2014-06-20 | 2015-12-24 | Applied Materials, Inc. | Methods for shallow trench isolation formation in a silicon germanium layer |
TWI734668B (zh) * | 2014-06-23 | 2021-08-01 | 美商應用材料股份有限公司 | 在epi腔室中的基材熱控制 |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9640371B2 (en) * | 2014-10-20 | 2017-05-02 | Lam Research Corporation | System and method for detecting a process point in multi-mode pulse processes |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP7236975B2 (ja) * | 2019-10-08 | 2023-03-10 | 東京エレクトロン株式会社 | 制御装置、処理装置及び制御方法 |
CN113948358B (zh) * | 2020-07-17 | 2024-03-12 | 中微半导体设备(上海)股份有限公司 | 一种等离子体处理装置及半导体结构的形成方法 |
CN114678270A (zh) * | 2020-12-24 | 2022-06-28 | 中微半导体设备(上海)股份有限公司 | 一种电感耦合等离子处理装置及其刻蚀方法 |
CN115159865B (zh) * | 2022-07-26 | 2023-05-09 | 艾瑞森表面技术(苏州)股份有限公司 | 一种防眩光的表面处理方法 |
Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5270266A (en) * | 1991-12-13 | 1993-12-14 | Tokyo Electron Limited | Method of adjusting the temperature of a semiconductor wafer |
US6261962B1 (en) * | 1996-08-01 | 2001-07-17 | Surface Technology Systems Limited | Method of surface treatment of semiconductor substrates |
US6284666B1 (en) * | 2000-05-31 | 2001-09-04 | International Business Machines Corporation | Method of reducing RIE lag for deep trench silicon etching |
US6294423B1 (en) * | 2000-11-21 | 2001-09-25 | Infineon Technologies North America Corp. | Method for forming and filling isolation trenches |
US6303513B1 (en) * | 1999-06-07 | 2001-10-16 | Applied Materials, Inc. | Method for controlling a profile of a structure formed on a substrate |
US6335261B1 (en) * | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US20020039843A1 (en) * | 2000-09-29 | 2002-04-04 | Takenobu Ikeda | Method of manufacturing a semiconductor integrated circuit device |
US20030171000A1 (en) * | 2002-03-08 | 2003-09-11 | Industrial Technology Research Institute | Method for reducing reactive ion etching (RIE) lag in semiconductor fabrication processes |
TW200421526A (en) * | 2003-04-08 | 2004-10-16 | Nanya Technology Corp | Method of reducing trench aspect ratio |
US20050103749A1 (en) * | 2002-01-03 | 2005-05-19 | Michel Puech | Method and device for anisotropic etching of high aspect ratio |
US7052971B2 (en) * | 2001-07-13 | 2006-05-30 | Kabushiki Kaisha Toshiba | Method for manufacturing semiconductor device |
CN1886823A (zh) * | 2003-09-26 | 2006-12-27 | 兰姆研究有限公司 | 具有修正的蚀刻 |
US7183219B1 (en) * | 1998-12-28 | 2007-02-27 | Tokyo Electron At Limited And Japan Science And Technology Corporation | Method of plasma processing |
US20070232070A1 (en) * | 2006-03-31 | 2007-10-04 | Stephan Wege | Method and device for depositing a protective layer during an etching procedure |
US20080286978A1 (en) * | 2007-05-17 | 2008-11-20 | Rong Chen | Etching and passivating for high aspect ratio features |
Family Cites Families (20)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS59172237A (ja) * | 1983-03-18 | 1984-09-28 | Fujitsu Ltd | プラズマ処理装置 |
US5230741A (en) * | 1990-07-16 | 1993-07-27 | Novellus Systems, Inc. | Gas-based backside protection during substrate processing |
EP1357584A3 (en) * | 1996-08-01 | 2005-01-12 | Surface Technology Systems Plc | Method of surface treatment of semiconductor substrates |
US5856906A (en) * | 1997-05-12 | 1999-01-05 | Applied Materials, Inc. | Backside gas quick dump apparatus for a semiconductor wafer processing system |
US6632321B2 (en) * | 1998-01-06 | 2003-10-14 | Applied Materials, Inc | Method and apparatus for monitoring and controlling wafer fabrication process |
DE19826382C2 (de) * | 1998-06-12 | 2002-02-07 | Bosch Gmbh Robert | Verfahren zum anisotropen Ätzen von Silicium |
US6335293B1 (en) * | 1998-07-13 | 2002-01-01 | Mattson Technology, Inc. | Systems and methods for two-sided etch of a semiconductor substrate |
US6743727B2 (en) * | 2001-06-05 | 2004-06-01 | International Business Machines Corporation | Method of etching high aspect ratio openings |
JP3527901B2 (ja) | 2001-07-24 | 2004-05-17 | 株式会社日立製作所 | プラズマエッチング方法 |
US6924235B2 (en) | 2002-08-16 | 2005-08-02 | Unaxis Usa Inc. | Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method |
US6833325B2 (en) * | 2002-10-11 | 2004-12-21 | Lam Research Corporation | Method for plasma etching performance enhancement |
US7097779B2 (en) * | 2004-07-06 | 2006-08-29 | Tokyo Electron Limited | Processing system and method for chemically treating a TERA layer |
JP2006278827A (ja) * | 2005-03-30 | 2006-10-12 | Oki Electric Ind Co Ltd | 半導体装置の製造方法 |
JP2008172184A (ja) | 2006-02-23 | 2008-07-24 | Tokyo Electron Ltd | プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体 |
US7524750B2 (en) * | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
TW200806567A (en) * | 2006-07-26 | 2008-02-01 | Touch Micro System Tech | Method of deep etching |
US7993465B2 (en) * | 2006-09-07 | 2011-08-09 | Applied Materials, Inc. | Electrostatic chuck cleaning during semiconductor substrate processing |
US8557094B2 (en) * | 2006-10-05 | 2013-10-15 | Applied Materials, Inc. | Sputtering chamber having auxiliary backside magnet to improve etch uniformity and magnetron producing sustained self sputtering of ruthenium and tantalum |
KR101795658B1 (ko) | 2009-01-31 | 2017-11-08 | 어플라이드 머티어리얼스, 인코포레이티드 | 에칭을 위한 방법 및 장치 |
US8298959B2 (en) * | 2009-06-03 | 2012-10-30 | Applied Materials, Inc. | Method and apparatus for etching |
-
2010
- 2010-01-27 KR KR1020127024405A patent/KR101795658B1/ko active IP Right Grant
- 2010-01-27 KR KR1020117020310A patent/KR101712348B1/ko active IP Right Grant
- 2010-01-27 CN CN201080006330.1A patent/CN102301458B/zh active Active
- 2010-01-27 WO PCT/US2010/022223 patent/WO2010088267A2/en active Application Filing
- 2010-01-27 CN CN201210216949.6A patent/CN102768933B/zh active Active
- 2010-01-29 TW TW099102628A patent/TWI598952B/zh active
- 2010-01-29 US US12/696,773 patent/US8937017B2/en not_active Expired - Fee Related
- 2010-01-29 TW TW101118723A patent/TWI545649B/zh active
-
2012
- 2012-04-25 US US13/455,379 patent/US20120208300A1/en not_active Abandoned
Patent Citations (15)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5270266A (en) * | 1991-12-13 | 1993-12-14 | Tokyo Electron Limited | Method of adjusting the temperature of a semiconductor wafer |
US6261962B1 (en) * | 1996-08-01 | 2001-07-17 | Surface Technology Systems Limited | Method of surface treatment of semiconductor substrates |
US7183219B1 (en) * | 1998-12-28 | 2007-02-27 | Tokyo Electron At Limited And Japan Science And Technology Corporation | Method of plasma processing |
US6303513B1 (en) * | 1999-06-07 | 2001-10-16 | Applied Materials, Inc. | Method for controlling a profile of a structure formed on a substrate |
US6284666B1 (en) * | 2000-05-31 | 2001-09-04 | International Business Machines Corporation | Method of reducing RIE lag for deep trench silicon etching |
US6335261B1 (en) * | 2000-05-31 | 2002-01-01 | International Business Machines Corporation | Directional CVD process with optimized etchback |
US20020039843A1 (en) * | 2000-09-29 | 2002-04-04 | Takenobu Ikeda | Method of manufacturing a semiconductor integrated circuit device |
US6294423B1 (en) * | 2000-11-21 | 2001-09-25 | Infineon Technologies North America Corp. | Method for forming and filling isolation trenches |
US7052971B2 (en) * | 2001-07-13 | 2006-05-30 | Kabushiki Kaisha Toshiba | Method for manufacturing semiconductor device |
US20050103749A1 (en) * | 2002-01-03 | 2005-05-19 | Michel Puech | Method and device for anisotropic etching of high aspect ratio |
US20030171000A1 (en) * | 2002-03-08 | 2003-09-11 | Industrial Technology Research Institute | Method for reducing reactive ion etching (RIE) lag in semiconductor fabrication processes |
TW200421526A (en) * | 2003-04-08 | 2004-10-16 | Nanya Technology Corp | Method of reducing trench aspect ratio |
CN1886823A (zh) * | 2003-09-26 | 2006-12-27 | 兰姆研究有限公司 | 具有修正的蚀刻 |
US20070232070A1 (en) * | 2006-03-31 | 2007-10-04 | Stephan Wege | Method and device for depositing a protective layer during an etching procedure |
US20080286978A1 (en) * | 2007-05-17 | 2008-11-20 | Rong Chen | Etching and passivating for high aspect ratio features |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN107275202A (zh) * | 2016-04-07 | 2017-10-20 | 中芯国际集成电路制造(上海)有限公司 | 刻蚀方法及半导体结构的形成方法 |
CN107275202B (zh) * | 2016-04-07 | 2020-03-10 | 中芯国际集成电路制造(上海)有限公司 | 刻蚀方法及半导体结构的形成方法 |
Also Published As
Publication number | Publication date |
---|---|
US8937017B2 (en) | 2015-01-20 |
CN102301458A (zh) | 2011-12-28 |
TWI598952B (zh) | 2017-09-11 |
KR101795658B1 (ko) | 2017-11-08 |
TWI545649B (zh) | 2016-08-11 |
WO2010088267A2 (en) | 2010-08-05 |
TW201044457A (en) | 2010-12-16 |
TW201243943A (en) | 2012-11-01 |
KR20120120454A (ko) | 2012-11-01 |
KR20110118704A (ko) | 2011-10-31 |
CN102301458B (zh) | 2016-01-20 |
US20120208300A1 (en) | 2012-08-16 |
US20100197138A1 (en) | 2010-08-05 |
WO2010088267A3 (en) | 2010-10-14 |
CN102768933B (zh) | 2017-06-30 |
WO2010088267A4 (en) | 2010-12-02 |
KR101712348B1 (ko) | 2017-03-06 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102768933A (zh) | 用于蚀刻的方法 | |
US11257685B2 (en) | Apparatus and process for electron beam mediated plasma etch and deposition processes | |
US10062579B2 (en) | Selective SiN lateral recess | |
KR102503328B1 (ko) | 다운스트림 반응기에서 에지 에칭 레이트 제어를 위한 조정가능한 측면 가스 플레넘 | |
CN107408486B (zh) | 用于循环与选择性材料移除与蚀刻的处理腔室 | |
US8298959B2 (en) | Method and apparatus for etching | |
US20090272717A1 (en) | Method and apparatus of a substrate etching system and process | |
TWI389192B (zh) | 具快速氣體切換能力之氣體分佈系統 | |
US9070633B2 (en) | Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor | |
US9267605B2 (en) | Pressure control valve assembly of plasma processing chamber and rapid alternating process | |
TWI540640B (zh) | And a method for realizing a rapid switching of the reaction gas and a method thereof | |
US20220359214A1 (en) | Metal etch in high aspect-ratio features | |
KR101751746B1 (ko) | 듀얼 에칭을 이용한 플라즈마 에칭 장치 | |
KR20160075113A (ko) | 공정챔버 세척방법 | |
KR20230021726A (ko) | 이온 손상 감소 및 에칭 균일도 개선을 위한 펄싱 리모트 플라즈마 | |
KR20080037248A (ko) | 불순물 도핑 장치 및 이를 이용한 불순물 도핑 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
GR01 | Patent grant | ||
GR01 | Patent grant |