TWI598952B - 用於蝕刻之方法及設備 - Google Patents

用於蝕刻之方法及設備 Download PDF

Info

Publication number
TWI598952B
TWI598952B TW099102628A TW99102628A TWI598952B TW I598952 B TWI598952 B TW I598952B TW 099102628 A TW099102628 A TW 099102628A TW 99102628 A TW99102628 A TW 99102628A TW I598952 B TWI598952 B TW I598952B
Authority
TW
Taiwan
Prior art keywords
gas
etching
substrate
containing gas
support assembly
Prior art date
Application number
TW099102628A
Other languages
English (en)
Other versions
TW201044457A (en
Inventor
雀什艾倫
德特馬爾史坦利
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201044457A publication Critical patent/TW201044457A/zh
Application granted granted Critical
Publication of TWI598952B publication Critical patent/TWI598952B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Description

用於蝕刻之方法及設備
本發明之實施例一般係涉及用於蝕刻的方法及設備,更特定的說,係涉及適於蝕刻微機電系統(Micro-Electro-Mechanical;MEMS)元件及其類似者的方法及設備。
針對微機電系統(MEMS)元件的需求已對於處理設備公司注入新的挑戰。其一挑戰是,提供適於針對用於製造MEMS結構之材料的有效電漿蝕刻之設備。舉例來說,為了成功地以商業可行性規模(commercially viable scale)製造MEMS結構,故用於蝕刻之處理設備必須能夠維持良好的關鍵尺寸控制以及遮罩選擇性。額外針對用於光學元件的MEMS結構,處理設備必須產生足夠平滑的側壁,以避免對獲得效能目標(performance goal)達到抑制的效果。
常用於MEMS結構的材料為矽。用於MEMS製造之矽蝕刻通常是在反應性離子蝕刻(RIE)反應器中進行。典型的RIE反應器一般具有有限的小型電漿產生區域以及有限的功率能力,此使得難以達到較大基板規格之良好蝕刻均一性,且亦限制蝕刻速率。再者,RIE反應器一般在基板中央蝕刻較快(相對於基板邊緣),而此限制了可能的產物產率以及品質。
部分的RIE反應器採用循環式蝕刻製程,其包括數個配方步驟(recipe step),例如:蝕刻與沉積,或蝕刻、閃光(flash)與沉積。循環式蝕刻製程可採用時間多工氣體調變(time multiplexed gas modulation;TMGM)系統或是Bosch系統以連續提供蝕刻劑及沉積物種。沉積物種在先前蝕刻表面上提供保護性薄膜,以保護表面(通常為溝槽〈trench〉的側壁)免受進一步蝕刻的作用。當愈來愈深的溝槽形成,則重複上述二步驟。對於循環式蝕刻製程的不良控制會不利地使側壁的粗糙度增加,而導致微電子元件產生缺陷。
因此,係需要一種用於蝕刻之改良式方法及設備。
本發明的實施例係涉及一種基板蝕刻方法與設備。在一實施例中,係提供一種用於在電漿蝕刻反應器中蝕刻基板的方法,其包括:將一背側製程氣體流動於基板與基板支撐組件之間;以及循環地蝕刻基板上的一層。
在另一實施例中,係提供一種用於在電漿蝕刻反應器中蝕刻基板的方法,其包括:循環地蝕刻基板上的一目標層;響應於被蝕刻之特徵結構的目前深寬比(current aspect ratio)而調整循環蝕刻過程中的配方變數。
在另一實施例中,係提供一種電漿蝕刻反應器,其包括一腔室主體、一基板支撐組件、一頂壁以及一可替換的間隔件。基板支撐組件係位於腔室主體的製程容積中。頂壁係設置在腔室主體上,並覆蓋製程容積。可替換的間隔件係設置在頂壁與腔室主體中。可替換的間隔件係由複數種可替換的間隔件選擇出,其設定相對於基板支撐組件之頂壁的傾斜與高度之至少一者。
在另一實施例中,係提供一種電漿蝕刻反應器,其包括一腔室主體、一基板支撐組件、一頂壁以及一擋板。腔室組件具有一抽氣導管。基板支撐組件係設置在腔室主體的製程容積中。頂壁係設置在腔室主體上,並覆蓋製程容積。擋板係設置在抽氣導管中,並具有複數個孔洞以允許氣體通過擋板而往抽氣導管的下游。
本發明一般係涉及用於蝕刻之設備及方法。雖然此處所述之設備及方法對於MEMS應用的矽進行蝕刻為特別有利的,但亦可預期本發明之實施例不限於使用矽蝕刻之應用,亦可有利地用於蝕刻其他類型材料及(或)用於其他蝕刻反應器中。為了對本發明之設備及其使用方法的新穎性有更佳的瞭解,於後係參照附加圖式來說明。
第1圖為蝕刻反應器100之一實施例的剖面視圖。蝕刻反應器100包括一下方腔室主體102、一上方腔室主體104及一頂壁106,並圍繞出一製程容積108。頂壁106可以為平坦或是具有其他幾何形狀。在一實施例中,頂壁106為圓頂狀。一可替換的間隔件110係提供在頂壁106與上方腔室主體104之間,藉此,可以選擇性地改變頂壁相對於上方腔室主體104的傾斜及(或)高度,將於下方進一步描述。
RF線圈112係設置在頂壁106上方,並透過匹配電路116而耦接至RF來源114。頂壁106可傳導RF功率,故施加至線圈112的功率可以感應耦合至反應器100之製程容積108中所設置的氣體並激發之,以維持電漿170。照慣例,施加至線圈112的功率係已知為來源功率。
來源功率可以在約12MHz~約13.5MHz之射頻範圍內提供,而功率介於約10瓦~約5000瓦。來源功率可以為脈衝的。
上方腔室主體104包括一抽氣通道118,該抽氣通道118透過節流閥122而將反應器100的製程容積108連接到幫浦120。可操作幫浦120與節流閥122以控制反應器100之製程容積108中的壓力。幫浦120亦移除蝕刻副產物。擋板180係設置在抽氣通道118中以使幫浦120的污染最小化。
反應器100具有與其耦接之快速氣體交換系統124,該快速氣體交換系統124透過噴嘴126而提供製程氣體及(或)其他氣體至製程容積108,而噴嘴126係設置在上方腔室主體104的內部周圍或是其他適合位置。快速氣體交換系統124係選擇性地允許任何的單一氣體或是氣體組合提供至製程容積108。在一實施例中,快速氣體交換系統124具有3個輸送管線128,且各輸送管線128係耦接至不同的氣體來源。輸送管線128可以耦接至相同或不同的噴嘴126。
在第1圖所述之實施例中,各輸送管線128包括一第一閥130、一質量流量計132以及一第二閥134。第二閥134係耦接至一共同T形管(tee)138,且該共同T形管138耦接至噴嘴126。氣體由質量流量計132流至內部容積所流經的導管之長度小於約2.5 m,藉此,允許氣體之間較快速的切換時間。快速氣體交換系統124可以藉由一設置在T形管138與噴嘴126之間的隔離閥136而與反應器100的製程容積108隔離。
排氣導管166係耦接於隔離閥136與T形管138之間,以允許殘留的氣體由快速氣體交換系統124清除,而不會進入反應器100。關斷閥164係提供以當氣體輸送至反應器100之製程容積時108,關閉排氣導管166。
第2A及2B圖係繪示快速氣體交換系統之選擇性實施例。在第2A圖之實施例中,快速氣體交換裝置260包括:將氣體導入第一輸送導管268及第二輸送導管269的第一氣體面板(gas panel)261(具有第一流量控制器262)、第二氣體面板263(具有第二流量控制器264)、數個流量限制器265及閥266;以及用於排出氣體的出口267。第一輸送導管268及第二輸送導管269係耦接至反應器100之相同及(或)不同的噴嘴126。特別的是,第2A圖示出4個流量限制器265以及8個閥266,但亦可改變流量限制器265與閥266的數量。快速氣體交換裝置260在第一蝕刻步驟與第二蝕刻步驟期間,由第一氣體面板261供應第一氣體至反應器100,例如六氟化硫(sulfur hexafluoride;SF6),並且亦在沉積步驟期間,由第二氣體面板263供應第二氣體至反應器100,例如八氟環丁烷(perfluorocyclobutane;C4F8)。在一實例中,第一氣體面板261與第二氣體面板263係可操作以在約1000 sccm輸送SF6及C4F8、在約500 sccm輸送氦氣,並在約200 sccm輸送氧氣(O2)及氬氣。在一選擇性實施例中,快速氣體交換裝置260可以更包括有第三氣體面板,其包括電漿維持氣體(plasma sustaining gas)(例如氬氣),並可操作以連續輸送氣體至反應器100。
在操作過程中,當來自第一氣體面板261的氣體供應至製程容積108,第一流量控制器262可將氣體導引至第一輸送導管268、第二輸送導管269或兩者。流量限制器265可以預防氣體再進入第一氣體面板261。當氣體供應至反應器100時,可操作閥266以開啟通往反應器100之流動路徑,並關閉通往出口267的流動路徑。當蝕刻循環切換步驟時,來自第二氣體面板263的氣體可以採取與第一氣體面板261相似之方式而供應至反應器100。當來自第二氣體面板263的氣體供應至反應器100時,可操作閥266以關閉由第一氣體面板通往反應器100之流動路徑,並開啟通往出口267的流動路徑,以將流動管路中的氣體排出。在一實例中,於沉積步驟期間,來自第一氣體面板261的氣體可以供應至反應器100,而在蝕刻步驟期間,來自第二氣體面板263的氣體可以供應至反應器100。第一氣體面板261與第二氣體面板263可以用於沉積及蝕刻步驟兩者。在一選擇性實施例中,於沉積與蝕刻步驟期間,第三氣體面板可用於連續供應一電漿維持氣體(例如氬氣)至反應器100。
在第2B圖之實施例中,快速氣體交換裝置300包括:用於將氣體導引至反應器100之製程容積108中的具有第一流量控制器340、第二流量控制器345及第三流量控制器347的第一氣體面板320、第一排氣裝置360及/或第二排氣裝置370。第一氣體面板320可包括複數種氣體322,包括但不限於為六氟化硫、氧氣、氬氣、三氟甲烷(CHF3)及(或)氦氣。流量控制器340、345、347之各者可包括流量控制閥,以將氣體導引至排氣裝置360、370及(或)反應器100的製程容積108。流量控制閥可包括氣動操作(pneumatic operation),以允許快速的反應,並提供數種流動配置。此外,流量控制器340、345、347可以與操作系統連通,以控制並監控閥的操作。流量限制器346、348可以耦接至第三流量控制器347,以限制通往第一排氣裝置360及(或)反應器100之製程容積108的流動。
在一實施例中,第一流量控制器340可配置以將氣體導引至第一排氣裝置360及(或)第二流量控制器345。第二流量控制器345可配置以將氣體導引至反應器100的製程容積108及(或)第三流量控制器347。第三流量控制器347可配置以使氣體通過流量限制器348而導引至第二排氣裝置370及(或)通過流量限制器346而導引至腔室200。
快速氣體交換裝置300亦可包括:用於將氣體導引至反應器100之製程容積108中的具有第一流量控制器350、第二流量控制器355及第三流量控制器357的第二氣體面板330、第一排氣裝置360及(或)第二排氣裝置370。第二氣體面板330可包括複數種氣體332,包括但不限於為八氟環丁烷、氧氣、氬氣、三氟甲烷及(或)氦氣。流量控制器350、355、357之各者可包括流量控制閥,以將氣體導引至排氣裝置360、370及(或)反應器100的製程容積108。流量控制閥可包括氣動操作,以允許快速的反應,並提供數種流動配置。此外,流量控制器350、355、357可以與操作系統連通,以控制並監控閥的操作。流量限制器356、358可以耦接至第三流量控制器357,以限制通往第二排氣裝置370及(或)反應器100之製程容積108的流動。
在一實施例中,第一流量控制器350可配置以將氣體導引至第一排氣裝置360及(或)第二流量控制器355。第二流量控制器355可配置以將氣體導引至反應器100的製程容積108及(或)第三流量控制器357。第三流量控制器357可配置以使氣體通過流量限制器358而導引至第二排氣裝置370及(或)通過流量限制器356而導引至反應器100的製程容積108。
在操作過程中,平行氣體管路325、335可配置以獨立地將氣體通過一系列的流量控制器及流量限制器(例如流量控制器345、347及355、357,及特別是流量限制器346、356)而輸送至反應器100的製程容積108,以允許快速的氣體切換。亦可操作氣體管路325、335以獨立地及(或)直接地將氣體快速輸送至反應器100的製程容積108中,以消除通過流量限制器346、356所觀察到的氣體延遲(gas delay)。在一可選擇的實施例中,氣體管路325、335在進入反應器100之前可以彼此結為一體(tie-in)。利用快速氣體交換裝置300可以提供許多氣體輸送及配置。在一實施例中,第一氣體(或氣體之組合)可以直接地輸送進入反應器100的製程容積108(例如通過氣體管路325),且第二氣體(或氣體的組合)可以脈衝通過氣體管路335的流量限制器356以允許可控的輸送選擇。在快速氣體交換裝置300中的各個閥可包括止回閥(check valve),以預防輸送通過氣體管路325、335的氣體往回擴散。可操作流量控制器340、350以導引氣體通過與排氣裝置360連通的廢棄物前級管路(dump foreline)。可操作流量控制器347、357以導引氣體通過與排氣裝置370連通且位於TGV上方的廢棄物管路。
在一實施例中,快速氣體交換裝置300可包括一選用的氣體管路386,該氣體管路386與氣體管路325、335之一者或兩者為連通。氣體管路386可包括一選用的流量控制器384及(或)選用的流量限制器382。可操作氣體管路386以將氣體導引至排氣裝置380,而將來自氣體管路的氣體排出。
再往回參照第1圖,基板支撐組件140係設置在反應器100的製程容積108中。基板支撐組件140包括一裝設在熱隔離件(thermal isolator)144上的靜電夾盤(electrostatic chuck)142。熱隔離件144將靜電夾盤142與桿172絕緣,而桿172係將靜電夾盤142支撐在下方腔室主體102的底部上方。
升舉銷146係穿設該基板支撐組件140。升舉板148設置於基板支撐組件140下方,並且可以由升降機(lift)155致動,而選擇性地使升舉銷146移位,以將基板150舉起及(或)放置在靜電夾盤142的上表面152上方。
靜電夾盤142包括至少一電極(圖中未示),將能量供給電極則可以靜電保持基板150在靜電夾盤142的上表面152上。靜電夾盤142的電極係透過匹配電路158而耦接至偏壓功率源156。偏壓功率源156可以選擇性地供給能量給靜電夾盤142的電極,以控制蝕刻過程之離子的方向性(directionality)。
當連續施加源功率時,可以對偏壓功率進行脈衝,例如持續一段時間重複地儲存或收集能量,並接著在另一段時間快速地釋放能量,以輸送一瞬時增加的功率量。特別的是,可以利用透過控制系統設定脈衝能力的產生器來脈衝該偏壓功率,以提供功率為開啟之時間百分比,其稱之為「工作週期(duty cycle)」。在一實施例中,脈衝偏壓功率的開啟時間(time on)與關閉時間(time off)在整個蝕刻循環中是均一的。舉例來說,若功率開啟為約3 msec,關閉為15 msec,則工作週期為約16.67%。以每秒有幾個週期(cycles per second)或赫茲(Hz)計的脈衝頻率係等於1.0除以開啟與關閉時間(以秒計)的總和。舉例來說,當偏壓功率開啟約3 msec,關閉約15 msec,即總共約18 msec,而脈衝頻率為(每秒有幾個週期)約55.55 Hz。在一實施例中,可以使用在蝕刻循環過程中,開啟(關閉)時間會改變的特定脈衝輪廓(specialized pulsing profile)。在一實施例中,藉由改變施加至基板的偏壓功率,則蝕刻循環可以在沉積及/或蝕刻步驟之間切換。偏壓功率係經過脈衝,以協助減少溝槽側壁產生扇形(scallop)、增進光阻選擇性、改善蝕刻速率及預防材料介面底切(undercut)。
另請參照第3圖,背側氣體來源160係耦接穿過基板支撐組件140以提供一或多個氣體至界定在基板150與靜電夾盤142的上表面152之間的空間302。由背側氣體來源160所提供的氣體可包括He及(或)背側製程氣體。背側製程氣體為傳送自基板與基板支撐件之間的氣體,其會藉由與腔室中之物質(例如製程氣體、蝕刻副產物、遮罩或其他設置在基板上的層,或目標用作於蝕刻的物質)反應而影響蝕刻循環過程中的蝕刻或聚合速率。在一實施例中,背側製程氣體為含氧氣體,例如O2。在一實施例中,針對矽蝕刻應用,背側氣體中的He與O2的比例為約50:50~約70:30(以體積計或質量計)。可預期亦可使用其他背側製程氣體以控制接近基板邊緣的製程。可預期背側製程氣體之應用係可有利地用於單一步驟蝕刻製程以及如上述之循環式蝕刻製程。再者,相對於使製程氣體傳輸通過噴嘴126而提供背側製程氣體,由基板邊緣下方提供背側製程氣體係提供對於蝕刻製程之更具目標性的控制。
為了使背側氣體來源160所提供的製程氣體到達基板150的邊緣,由基板150邊緣下方的背側氣體滲漏率(leakage rate)係高於習知的背側氣體系統的背側氣體滲漏率。在一實施例中,滲漏率(leak rate)的提高係藉由將基板150與靜電夾盤142之上表面152之間的空間302中的氣體壓力維持在約4~26 Torr(托)。在另一實施例中,該壓力係維持在約10~22托。在又另一實施例中,該壓力係維持在約14~20托。可預期亦可以藉由在靜電夾盤142之上表面152上且支撐基板150的突唇(lip)306中提供凹口(304,以虛線顯示)或其他特徵結構來達到滲漏率。
第4A~4C圖為基板蝕刻反應器100的各種部分側視圖,其係繪示間隔件110之不同實施例。如上所述,間隔件110可以用於改變頂壁106以及設置於其上之線圈112相對於設置在基板支撐組件140中的電極162的距離及傾斜。間隔件110可以由鋁製成。間隔件110的內側表面可以經過陽極電鍍(anodize)以抵抗電漿。間隔件110為可堆疊(與其他間隔件),並且可以改裝在現存反應器上。
在第4A圖所示之實施例中,間隔件1101係用於設定線圈112與電極162的距離d1。間隔件1101的上表面與下表面為平行,藉此,線圈112的中心線與基板支撐件的中心線404為同中心。
在第4B圖所示之實施例中,係提供有間隔件1102,其高度H2係不同於第4A圖之間隔件1101的高度H1,此使得第4B圖中的線圈112與電極162之間的距離d2不同於第4A圖中的距離d1。在一實施例中,d1與d2之間的差異為約3 cm或是其倍數。
係相信高度(d)的增加會使得離子轟擊增加,其係藉由提供較長的平均自由路徑(mean free path)所致,此會進而允許在蝕刻循環過程中較有效的聚合物移除,並增加蝕刻速率。另一個優點是來源線圈(source coil)會更遠離基板,其理論上係深信同樣能提供較佳的均一性。最大的高度係藉由反應器的頂部與反應器上方的設備之間的間隙(clearance)來決定,在一實例中,該最大的高度為約11 cm。因此,可選擇高度(d)以利於不同的蝕刻應用。
在第4C圖所示之實施例中,係使用間隔件1103。間隔件1103具有一上表面406,該上表面406係相對於間隔件的下表面408呈角度410而定向,該下表面408係支撐在上方腔室主體104(圖中未示)上。此使得線圈112相對於電極162之傾斜改變,其係由界定在線圈112之中心線402與基板支撐組件140之中心線404之間的角度412所表示。
藉由使用所選擇的間隔件而將線圈112以預定定向相對於電極162放置,則可改變電漿170的特性、位置及/或性質,藉以調整蝕刻結果。舉例來說,選擇傾斜大於0的角度412以補償腔室之不對稱性(asymmetry),例如狹縫閥位置或幫浦120位置所致之抽氣不對稱性所造成的非均一電氣特性。
亦可採其他方式而使用間隔件以調整電漿特性。舉例來說,可選擇間隔件的材質以影響製程結果。另外,可選擇間隔件的內徑而使其具有某種幾何形狀,其亦影響製程結果。
第5A~5E圖繪示具有不同內徑輪廓之間隔件的選擇性實施例。在第5A圖所示之實施例中,係繪示間隔件110。間隔件110包括外徑502與內徑504,而內徑504與外徑502為同中心。
在第5B圖所示之實施例中,其顯示之間隔件510的內徑504與外徑502不為同中心。此使得間隔件510在一區域508相對於另一區域506而具有較大質量(mass)。間隔件510係經定向而使得區域506、508相對於狹縫閥門及(或)導向該幫浦120的通道而定位,以產生及(或)補償蝕刻結果中的不對稱性。
在第5C圖所示之實施例中,係繪示具有內徑504與外徑502的間隔件520。內徑504與外徑502為實質同中心。亦可預期內徑504與外徑502不為同中心。內徑504具有由其延伸朝向間隔件520之中心的一或多個突出部512,而突出部512產生一區域514,該區域514的質量大於間隔件520的其他區域516。如上所討論者,可相對於導向該幫浦及(或)狹縫閥而定向該具有較大質量的區域514,以產生期望之蝕刻效應。可預期間隔件520可包括一個以上的突出部512,且可使用突出部512的分佈來產生區域514、516。
在第5D圖所示之實施例中,係繪示一間隔件530。間隔件530包括同中心的內徑504與外徑502。亦可預期內徑504與外徑502不為同中心。複數個切除部(cut-out)522(例如:凹口、溝槽或其他幾何形狀)係形成在間隔件530的內徑504中。可選擇切除部522的數量、分佈及密度以產生期望的蝕刻效應。舉例來說,如第5E圖所示,稠密集中性(dense concentration)的切除部522係位於間隔件540的一側,以產生一區域534,該區域534之質量係大於(具有較小質量的)區域532,而該區域532之切除部522的密度較大。如上所討論者,可選擇具有較大密度之區域在腔室中的定向,以產生期望的蝕刻結果。
第6及7圖為擋板180之一實施例的剖面視圖及後視圖。擋板180係由陶瓷或其他適合材料製成。在一實施例中,擋板180係由Al2O3製成。
擋板180係設置在抽氣通道118(第6圖中所示之虛線)中。在一實施例中,擋板180為細長的(elongated)且跨越抽氣通道118的整個橫截面。擋板180包括面向反應器100之製程容積108的前側702,以及面朝向幫浦120(並未示於第6圖中)的背側704。前側702可以為平坦或彎曲的。在第6圖所示之實施例中,前側702係以一半徑彎曲,該半徑具有在製程容積108之中心的原點,其係與基板支撐件的中心線404(示於第4A~4C圖)對準(即,前側702為凹形)。擋板180的背側704為平坦的。
複數個孔洞706係形成穿過擋板180,以允許來自製程容積108的氣體通往幫浦120。孔洞706係配置以預防電漿通過擋板180而進入抽氣通道118,藉以使擋板180下游之材料沉積最少化。已證實擋板180在使幫浦120上的聚合物沉積最少化是特別有效的。在一實施例中,15個孔洞706係形成穿過擋板180。在一實施例中,穿過擋板180的孔洞706係排置成三列,例如三列孔洞,且每列有五個孔洞。
第8及9圖為擋板800之另一實施例的剖面視圖及後視圖。擋板800係由陶瓷或其他適合材料製成。擋板800係設置在抽氣通道118中,以預防聚合物污染抽氣部件。
擋板800包括面向反應器100之製程容積108的前側802,以及面朝向幫浦120(並未示於第8圖中)的背側804。前側802可以為平坦或彎曲的。在第8圖所示之實施例中,前側802係以一半徑彎曲,該半徑具有在製程容積108之中心的原點,其係與基板支撐件的中心線404(示於第4A~4C圖)對準。
擋板800的背側804亦可以為平坦或彎曲的。在第8圖所示之實施例中,擋板800的背側804為彎曲的,且與前側802為同中心。
複數個孔洞806係形成穿過擋板800,以允許來自製程容積108的氣體通往幫浦120。孔洞806係配置以預防電漿進入抽氣通道118。在一實施例中,15個孔洞806係形成穿過擋板800。在一實施例中,穿過擋板800的孔洞806係排置成三列,例如三列孔洞,且每列有五個孔洞。
第10圖繪示用於蝕刻基板之方法的一實施例之流程圖。該方法可以在蝕刻反應器100或其他適合的蝕刻反應器中實施。方法開始於步驟1002,其係藉由將基板傳送到設置在反應器中的基板支撐組件140。
在步驟1004,背側氣體係提供至基板與基板支撐組件之間的間隙空間,並從基板150邊緣的下方進入製程容積108。在一實施例中,背側氣體含有一背側製程氣體,背側氣體亦可含有氦氣或其他惰性氣體。背側製程氣體影響至少一蝕刻或沉積子步驟,將於下方進一步描述。
在一實施例中,背側製程氣體包括聚合物形成氣體。在一實施例中,聚合物形成氣體為含氧氣體,例如O2。氦氣或其他惰性氣體亦存在於背側氣體中。在一實施例中,背側氣體中之氦氣與背側製程氣體的比例為約50:50~約70:30(以重量或質量計)。所提供之背側氣體的壓力係在約4~26托,或其他適於確保背側氣體由晶圓邊緣下方的充足滲漏之其他壓力,藉此,背側製程氣體影響基板表面上的製程。
在步驟1006,係進行一循環式蝕刻製程。循環式蝕刻製程包括至少一蝕刻子步驟1008,以及至少一沉積子步驟1010。子步驟係重複執行直到達到終點。終點可以透過時間、流出物監控、電漿監控、厚度監控或其他適合的終點偵測方法來判定。
在適於蝕刻矽的一實施例中,蝕刻子步驟1008包括提供含氟氣體。適合的含氟氣體包括SF6、NF3、CF4、CHF3、ClF3、BrF3、IF3或其衍生物。蝕刻子步驟1008的持續時間為小於約7秒。在一實施例中,蝕刻子步驟1008係介於1~3秒。蝕刻子步驟1008的第一部分可包括將含氧氣體導引通過快速氣體交換裝置,以從正被蝕刻之特徵結構的底部、水平表面較佳地蝕刻聚合物,藉以暴露出矽材料以供子步驟1008之第二部分的接續蝕刻。
聚合物沉積子步驟1010可包括提供聚合物形成氣體通過快速氣體歧管。聚合物形成氣體可包括含碳氣體,例如C4F8。可使用其他適合的聚合物形成氣體。子步驟1010的持續時間係近似於子步驟1008的持續時間。
子步驟1008至子步驟1010(與後退)之間的快速切換係藉由使用快速氣體交換系統124中的質量流量控制器來提高,而快速氣體交換系統124具有300 ms範圍的反應時間。子步驟1008與1010之間的較快切換係造成較快的蝕刻速率與較少的特徵結構側壁產生扇形(scalloping)。
子步驟1008與1010係在子步驟1012重複,直到達到終點或是其他期望點。可預期一旦達到終點,則可省略在重複子步驟1012之一系列中的最後子步驟1010。
第11圖繪示用於蝕刻基板之方法的一實施例之流程圖。該方法可以在蝕刻反應器100或其他適合的蝕刻反應器中實施。
方法開始於步驟1102,其係藉由將基板傳送到設置在反應器中的基板支撐組件140。在步驟1104,背側氣體係提供至基板與基板支撐組件之間的間隙空間,並從基板150邊緣的下方進入製程容積108。在一實施例中,背側氣體含有如上所述之背側製程氣體。
在步驟1106,係進行一循環式蝕刻製程。循環式蝕刻製程包括至少一蝕刻子步驟1108,以及至少一沉積子步驟1110。子步驟1108與1110係在子步驟1112重複,直到達到終點或是其他期望點。可預期一旦達到終點,則可省略在重複子步驟1112之一系列中的最後子步驟1110。
在一或多個重複子步驟1112期間,係執行配方管理子步驟1114。配方管理子步驟1114響應於被蝕刻之特徵結構的目前深寬比(current aspect ratio)來調整某種配方變數。舉例來說,藉由各個重複子步驟1112,蝕刻特徵結構的深度增加,藉此,被蝕刻之特徵結構的深寬比增加。當深寬比增加,若配方維持固定,則蝕刻效能改變。配方管理子步驟1114藉由調整某種製程配方變數以補償之,藉此,可維持蝕刻效能及/或針對特徵結構之目前深寬比而使蝕刻效能最佳化。舉例來說,在較深的溝槽中,必須管理側壁聚合物的厚度,以避免溝槽關閉而阻礙接續的蝕刻。因此,在接續循環期間,當深寬比持續增加,則調整(例如:上升或下降)一或多個可變製程配方,以維持蝕刻效能及(或)使蝕刻效能最佳化。部分的變數包括偏壓功率、偏壓工作週期(duty cycle)、偏壓功率脈衝、基板支撐組件溫度、來源功率、腔室壓力、製程氣體流速及製程氣體組成等。
已證實前述的製程與設備相較於習知製程與習知設計而有大幅改善。針對跨越基板之更均一的蝕刻結果,可以使用背側製程氣體以控制接近基板邊緣的CD偏差(CD bias)。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
100...反應器
102...下方腔室主體
104...上方腔室主體
106...頂壁
108...製程容積
110,1101-3...間隔件
112...(RF)線圈
114...RF來源
116...匹配電路
118...抽氣通道
120...幫浦
122...節流閥
124...氣體交換系統
126...噴嘴
128...輸送管線
130...第一閥
132...質量流量計
134...第二閥
136...隔離閥
138...T形管
140...基板支撐組件
142...靜電夾盤
144...熱隔離件
146...升舉銷
148...升舉板
150...基板
152...上表面
155...升降機
156...偏壓功率源
158...匹配電路
160...背側氣體來源
162...電極
164...關斷閥
166...排氣導管
170...電漿
172...桿
180...擋板
200...腔室
260...快速氣體交換裝置
261...第一氣體面板
262...第一流量控制器
263...第二氣體面板
264...第二流量控制器
265...流量限制器
266...閥
267...出口
268...第一輸送導管
269...第二輸送導管
300...快速氣體交換裝置
302...空間
304...凹口
306...突唇
320...第一氣體面板
322,332...氣體
325,335...氣體管路
330...第二氣體面板
340,350...第一流量控制器
345,355...第二流量控制器
346,348...流量限制器
347,357...第三流量控制器
356,358...流量限制器
360...第一排氣裝置
370...第二排氣裝置
380...排氣裝置
382...流量限制器
384...流量控制器
386...氣體管路
402...中心線
404...中心線
406...上表面
408...下表面
410...角度
412...角度
502...外徑
504...內徑
506...區域
508...區域
510...間隔件
512...突出部
514...區域
516...區域
520...間隔件
522...切除部
530...間隔件
532...區域
534...區域
540...間隔件
702...前側
704...背側
706...孔洞
800...擋板
802...前側
804...背側
806...孔洞
1000...方法
1100...方法
1002,1004,1006,1008,1010,1012,1102,1104,1106,1108,1110,1112,1114...步驟
d1,d2...距離
H1,H2...高度
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
第1圖,繪示基板蝕刻反應器之一實施例的剖面概要視圖。
第2A圖,顯示根據本發明之一實施例的一快速氣體交換系統。
第2B圖,顯示根據本發明之一實施例的另一快速氣體交換系統。
第3圖,繪示基板支撐組件之一實施例的部分剖面概要視圖。
第4A~C圖,繪示基板蝕刻反應器的各種部分側視圖,係描繪不同之間隔件。
第5A~E圖,為間隔件之選擇性實施例的底視圖。
第6圖,繪示擋板之一實施例的剖面視圖。
第7圖,繪示第6圖之擋板的後視圖。
第8圖,繪示擋板之一實施例的剖面視圖。
第9圖,繪示第8圖之擋板的後視圖。
第10圖,繪示蝕刻製程之一實施例的流程圖。
第11圖,繪示蝕刻製程之另一實施例的流程圖。
為便於了解,圖式中相同的元件符號表示相同的元件。某一實施例採用的元件當不需特別詳述而可應用到其他實施例。然而,須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
1000...方法
1002,1004,1006,1008,1010,1012...步驟

Claims (20)

  1. 一種用於在一電漿蝕刻反應器中蝕刻一基板的方法,包括:將一背側製程氣體流經放置在一電漿處理腔室中的一基板支撐組件而至一間隙空間,該間隙空間界定於一基板與該基板支撐組件之間,其中該背側製程氣體是由一背側氣體來源所提供,該背側氣體來源耦接穿過該基板支撐組件;以及在該電漿處理腔室中循環地蝕刻該基板上的一矽層,其中該背側製程氣體為一含氧氣體,該含氧氣體係藉由與該腔室中的材料反應而影響蝕刻循環過程中的蝕刻或聚合速率,其中該材料包含製程氣體、蝕刻副產物、遮罩或其他設置在該基板上的層、或目標用作於蝕刻的材料。
  2. 如申請專利範圍第1項所述之方法,更包括將He與該背側製程氣體一起流動在該基板與該基板支撐組件之間。
  3. 如申請專利範圍第2項所述之方法,其中該含氧氣體為O2
  4. 如申請專利範圍第3項所述之方法,其中在該基板與 該基板支撐組件之間流動的He與O2的比例,以體積或質量計為約50:50~約70:30。
  5. 如申請專利範圍第4項所述之方法,其中循環地蝕刻該矽層的步驟更包括:至少一蝕刻子步驟,係使用由一含氟氣體所形成的一電漿;以及至少一沉積子步驟,係使用一含碳氣體。
  6. 如申請專利範圍第5項所述之方法,其中該含氟氣體更包括下列至少一者:SF6、NF3、CF4、CHF3、ClF3、BrF3、IF3或前述氣體之衍生物。
  7. 如申請專利範圍第6項所述之方法,其中該含碳氣體進一步為C4F8
  8. 如申請專利範圍第5項所述之方法,其中該蝕刻子步驟更包括:將一含氧氣體與該含氟氣體導入,以較佳地從正被蝕刻之一特徵結構(feature)的一底部、水平表面蝕刻聚合物,藉以暴露矽材料以供該蝕刻子步驟的一第二部分期間的接續蝕刻。
  9. 如申請專利範圍第1項所述之方法,更包括: 響應於(in response to)該矽層中之被蝕刻的該特徵結構之目前深寬比(current aspect ratio),而調整在該蝕刻循環過程中的一配方變數(recipe variable)。
  10. 一種用於一電漿蝕刻反應器的擋板,該擋板包括:一細長的(elongated)陶瓷主體,具有一前側與一背側,該擋板的該前側為凹形,複數個孔洞係由該前側延伸至該背側,且該些孔洞係配置以預防電漿通過該主體。
  11. 如申請專利範圍第10項所述之擋板,其中該背側為平坦的。
  12. 如申請專利範圍第10項所述之擋板,其中該背側的曲率(curvature)係與該前側的曲率為同中心。
  13. 如申請專利範圍第10項所述之擋板,其中該些孔洞包括三列孔洞,且每列有五個孔洞。
  14. 一種電漿蝕刻反應器,包括:一腔室主體,具有一抽氣導管,如申請專利範圍第10項所述之擋板係設置在該抽氣導管中,並具有複數個孔洞,該孔洞經配置以允許氣體通過該擋板並往該抽氣導管下游,且同時避免電漿通過該擋板; 一基板支撐組件,係設置在該腔室主體的一製程容積中;以及一頂壁,係設置在該腔室主體上,並覆蓋該製程容積。
  15. 如申請專利範圍第14項所述之電漿蝕刻反應器,其中該前側係以一半徑彎曲,且該半徑具有在該基板支撐組件之一中心線上的一原點。
  16. 一種用於在一電漿蝕刻反應器中蝕刻一基板的方法,包括:將一背側製程氣體O2氣體由一基板的一邊緣與一基板支撐組件之間流出並流入一電漿處理腔室,其中該背側製程氣體O2氣體是由一背側氣體來源所提供,該背側氣體來源耦接穿過該基板支撐組件;以及在具有該O2氣體的該電漿處理腔室中循環地蝕刻該基板上的一矽層,其中循環地蝕刻該矽層的步驟更包括:至少一蝕刻子步驟,其使用由一含氟氣體所形成的一電漿,該含氟氣體更包括下列至少一者:SF6、NF3、CF4、CHF3、ClF3、BrF3、IF3或前述氣體之衍生物;以及至少一沉積子步驟,其使用一含碳氣體。
  17. 如申請專利範圍第16項所述之方法,更包括將He與O2氣體一起自該基板的一邊緣與該基板支撐組件之間流出。
  18. 如申請專利範圍第16項所述之方法,其中該蝕刻子步驟更包括:將一含氧氣體與該含氟氣體導入,以較佳地從正被蝕刻之一特徵結構的一底部、水平表面蝕刻聚合物,藉以暴露矽材料以供該蝕刻子步驟的一第二部分期間的接續蝕刻。
  19. 一種用於在一電漿蝕刻反應器中蝕刻一基板的方法,包括:將一背側製程氣體含氧氣體由一基板的一邊緣與一基板支撐組件之間流出並流入一電漿處理腔室,其中該背側製程氣體含氧氣體是由一背側氣體來源所提供,該背側氣體來源耦接穿過該基板支撐組件;以及在具有該含氧氣體的該電漿處理腔室中循環地蝕刻該基板上的一矽層,其中循環地蝕刻該矽層的步驟更包括:至少一蝕刻子步驟,其使用由一含氟氣體所形成的一電漿;以及至少一沉積子步驟,其使用一含碳氣體。
  20. 如申請專利範圍第19項所述之方法,其中該蝕刻子步驟更包括:將一含氧氣體與該含氟氣體導入,以較佳地從正被蝕刻之一特徵結構的一底部、水平表面蝕刻聚合物,藉以暴露矽材料以供該蝕刻子步驟的一第二部分期間的接續蝕刻。
TW099102628A 2009-01-31 2010-01-29 用於蝕刻之方法及設備 TWI598952B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14892809P 2009-01-31 2009-01-31

Publications (2)

Publication Number Publication Date
TW201044457A TW201044457A (en) 2010-12-16
TWI598952B true TWI598952B (zh) 2017-09-11

Family

ID=42396315

Family Applications (2)

Application Number Title Priority Date Filing Date
TW099102628A TWI598952B (zh) 2009-01-31 2010-01-29 用於蝕刻之方法及設備
TW101118723A TWI545649B (zh) 2009-01-31 2010-01-29 用於蝕刻之方法及設備

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW101118723A TWI545649B (zh) 2009-01-31 2010-01-29 用於蝕刻之方法及設備

Country Status (5)

Country Link
US (2) US8937017B2 (zh)
KR (2) KR101795658B1 (zh)
CN (2) CN102768933B (zh)
TW (2) TWI598952B (zh)
WO (1) WO2010088267A2 (zh)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2010088267A2 (en) 2009-01-31 2010-08-05 Applied Materials, Inc. Method and apparatus for etching
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
JP6068462B2 (ja) * 2011-06-30 2017-01-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高速ガス交換、高速ガス切換、及びプログラミング可能なガス送出のための方法及び装置
US9679751B2 (en) 2012-03-15 2017-06-13 Lam Research Corporation Chamber filler kit for plasma etch chamber useful for fast gas switching
CN102751160B (zh) * 2012-07-13 2016-02-10 中微半导体设备(上海)有限公司 刻蚀装置及对应的刻蚀方法
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10724137B2 (en) * 2013-02-05 2020-07-28 Kokusai Eletric Corporation Cleaning method, method of manufacturing semiconductor device, substrate processing apparatus, recording medium, and cleaning completion determining method
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
JP6362670B2 (ja) 2013-03-15 2018-07-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated ポリマーを管理することによるエッチングシステムの生産性の向上
KR102076087B1 (ko) 2013-08-19 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 불순물 적층 에피택시를 위한 장치
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US20150371889A1 (en) * 2014-06-20 2015-12-24 Applied Materials, Inc. Methods for shallow trench isolation formation in a silicon germanium layer
TWI734668B (zh) * 2014-06-23 2021-08-01 美商應用材料股份有限公司 在epi腔室中的基材熱控制
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9640371B2 (en) * 2014-10-20 2017-05-02 Lam Research Corporation System and method for detecting a process point in multi-mode pulse processes
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN107275202B (zh) * 2016-04-07 2020-03-10 中芯国际集成电路制造(上海)有限公司 刻蚀方法及半导体结构的形成方法
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7236975B2 (ja) * 2019-10-08 2023-03-10 東京エレクトロン株式会社 制御装置、処理装置及び制御方法
CN113948358B (zh) * 2020-07-17 2024-03-12 中微半导体设备(上海)股份有限公司 一种等离子体处理装置及半导体结构的形成方法
CN114678270A (zh) * 2020-12-24 2022-06-28 中微半导体设备(上海)股份有限公司 一种电感耦合等离子处理装置及其刻蚀方法
CN115159865B (zh) * 2022-07-26 2023-05-09 艾瑞森表面技术(苏州)股份有限公司 一种防眩光的表面处理方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59172237A (ja) * 1983-03-18 1984-09-28 Fujitsu Ltd プラズマ処理装置
US5230741A (en) * 1990-07-16 1993-07-27 Novellus Systems, Inc. Gas-based backside protection during substrate processing
JPH05166757A (ja) * 1991-12-13 1993-07-02 Tokyo Electron Ltd 被処理体の温調装置
GB9616225D0 (en) * 1996-08-01 1996-09-11 Surface Tech Sys Ltd Method of surface treatment of semiconductor substrates
DE69725245T2 (de) 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
US5856906A (en) * 1997-05-12 1999-01-05 Applied Materials, Inc. Backside gas quick dump apparatus for a semiconductor wafer processing system
US6632321B2 (en) 1998-01-06 2003-10-14 Applied Materials, Inc Method and apparatus for monitoring and controlling wafer fabrication process
DE19826382C2 (de) * 1998-06-12 2002-02-07 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
US6335293B1 (en) * 1998-07-13 2002-01-01 Mattson Technology, Inc. Systems and methods for two-sided etch of a semiconductor substrate
US7183219B1 (en) * 1998-12-28 2007-02-27 Tokyo Electron At Limited And Japan Science And Technology Corporation Method of plasma processing
US6303513B1 (en) * 1999-06-07 2001-10-16 Applied Materials, Inc. Method for controlling a profile of a structure formed on a substrate
US6284666B1 (en) * 2000-05-31 2001-09-04 International Business Machines Corporation Method of reducing RIE lag for deep trench silicon etching
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
JP2002110647A (ja) * 2000-09-29 2002-04-12 Hitachi Ltd 半導体集積回路装置の製造方法
US6294423B1 (en) * 2000-11-21 2001-09-25 Infineon Technologies North America Corp. Method for forming and filling isolation trenches
US6743727B2 (en) * 2001-06-05 2004-06-01 International Business Machines Corporation Method of etching high aspect ratio openings
JP2003031650A (ja) * 2001-07-13 2003-01-31 Toshiba Corp 半導体装置の製造方法
JP3527901B2 (ja) 2001-07-24 2004-05-17 株式会社日立製作所 プラズマエッチング方法
FR2834382B1 (fr) * 2002-01-03 2005-03-18 Cit Alcatel Procede et dispositif de gravure anisotrope du silicium a haut facteur d'aspect
US6900136B2 (en) * 2002-03-08 2005-05-31 Industrial Technology Research Institute Method for reducing reactive ion etching (RIE) lag in semiconductor fabrication processes
US6924235B2 (en) 2002-08-16 2005-08-02 Unaxis Usa Inc. Sidewall smoothing in high aspect ratio/deep etching using a discrete gas switching method
US6833325B2 (en) 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
TWI222160B (en) * 2003-04-08 2004-10-11 Nanya Technology Corp Method of reducing trench aspect ratio
US7135410B2 (en) * 2003-09-26 2006-11-14 Lam Research Corporation Etch with ramping
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006278827A (ja) * 2005-03-30 2006-10-12 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP2008172184A (ja) 2006-02-23 2008-07-24 Tokyo Electron Ltd プラズマエッチング方法、プラズマエッチング装置、制御プログラム及びコンピュータ記憶媒体
US20070232070A1 (en) * 2006-03-31 2007-10-04 Stephan Wege Method and device for depositing a protective layer during an etching procedure
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
TW200806567A (en) * 2006-07-26 2008-02-01 Touch Micro System Tech Method of deep etching
US7993465B2 (en) * 2006-09-07 2011-08-09 Applied Materials, Inc. Electrostatic chuck cleaning during semiconductor substrate processing
US8557094B2 (en) * 2006-10-05 2013-10-15 Applied Materials, Inc. Sputtering chamber having auxiliary backside magnet to improve etch uniformity and magnetron producing sustained self sputtering of ruthenium and tantalum
US20080286978A1 (en) * 2007-05-17 2008-11-20 Rong Chen Etching and passivating for high aspect ratio features
WO2010088267A2 (en) 2009-01-31 2010-08-05 Applied Materials, Inc. Method and apparatus for etching
WO2010141257A2 (en) * 2009-06-03 2010-12-09 Applied Materials, Inc. Method and apparatus for etching

Also Published As

Publication number Publication date
US8937017B2 (en) 2015-01-20
KR101795658B1 (ko) 2017-11-08
KR20110118704A (ko) 2011-10-31
TW201044457A (en) 2010-12-16
WO2010088267A3 (en) 2010-10-14
CN102301458A (zh) 2011-12-28
TW201243943A (en) 2012-11-01
US20120208300A1 (en) 2012-08-16
WO2010088267A2 (en) 2010-08-05
WO2010088267A4 (en) 2010-12-02
CN102768933B (zh) 2017-06-30
KR20120120454A (ko) 2012-11-01
CN102301458B (zh) 2016-01-20
US20100197138A1 (en) 2010-08-05
TWI545649B (zh) 2016-08-11
KR101712348B1 (ko) 2017-03-06
CN102768933A (zh) 2012-11-07

Similar Documents

Publication Publication Date Title
TWI598952B (zh) 用於蝕刻之方法及設備
US8298959B2 (en) Method and apparatus for etching
US8790489B2 (en) Substrate processing apparatus and substrate processing method
JP5608157B2 (ja) 基板エッチングシステム及びプロセスの方法及び装置
JP4352234B2 (ja) リアクタ組立体および処理方法
US20150214066A1 (en) Method for material removal in dry etch reactor
TWI444108B (zh) 石英擋板環
WO2012087921A1 (en) Methods for etching a substrate
US20160196981A1 (en) Method of manufacturing a semiconductor device
KR102033192B1 (ko) 고속 가스 스위칭을 위해서 유용한 플라즈마 에칭 챔버용 챔버 필러 키트
KR20160009542A (ko) 플라즈마 에칭 장치 및 플라즈마 에칭 방법
US9224616B2 (en) Etching method and plasma processing apparatus
JP4673457B2 (ja) プラズマ処理方法
KR101016028B1 (ko) 반도체 플라즈마 형성 장치
KR20070049864A (ko) 반도체 소자 제조용 장비
JP2000299312A (ja) プラズマ処理方法及び半導体装置の製造方法
ASHRAF et al. ADVANCES IN DEEP ANISOTROPICSILICON ETCH
KR20050077165A (ko) 건식 식각 장치