KR20120023055A - 반송 모듈 - Google Patents

반송 모듈 Download PDF

Info

Publication number
KR20120023055A
KR20120023055A KR1020117028914A KR20117028914A KR20120023055A KR 20120023055 A KR20120023055 A KR 20120023055A KR 1020117028914 A KR1020117028914 A KR 1020117028914A KR 20117028914 A KR20117028914 A KR 20117028914A KR 20120023055 A KR20120023055 A KR 20120023055A
Authority
KR
South Korea
Prior art keywords
chamber
pillar
transfer
robot
conveyance
Prior art date
Application number
KR1020117028914A
Other languages
English (en)
Inventor
츠토무 히로키
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120023055A publication Critical patent/KR20120023055A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/02Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type
    • B25J9/04Programme-controlled manipulators characterised by movement of the arms, e.g. cartesian coordinate type by rotating at least one arm, excluding the head movement itself, e.g. cylindrical coordinate type or polar coordinate type
    • B25J9/041Cylindrical coordinate type
    • B25J9/042Cylindrical coordinate type comprising an articulated arm
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J11/00Manipulators not otherwise provided for
    • B25J11/0095Manipulators transporting wafers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/10Programme-controlled manipulators characterised by positioning means for manipulator elements
    • B25J9/106Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links
    • B25J9/1065Programme-controlled manipulators characterised by positioning means for manipulator elements with articulated links with parallelograms
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Robotics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

반송실의 강성을 높일 수 있는 반송 모듈을 제공한다. 내부를 진공으로 하는 것이 가능한 반송실(14)에 개폐 가능하게 덮개(22)를 설치한다. 반송실(14) 내에 로봇(12)을 탑재한다. 로봇(12)은 피처리체(W)를 반송하는 기구의 일부에 중공의 회전축(36, 37)을 가진다. 로봇(12)의 중공의 회전축(36, 37) 내에는 닫힌 상태의 덮개(22)를 지지하는 기둥(28)이 배치된다. 대기압에 의해 덮개(22)에 작용하는 하중을 기둥(28)이 부하하므로, 덮개(22)의 두께를 얇게 할 수 있어 제조 코스트의 삭감을 도모할 수 있다. 또한, 로봇이 피처리체(W)를 회전축(36, 37)의 주위를 선회시키거나 방사 방향으로 이동시킬 때, 기둥(28)이 방해가 될 일도 없다.

Description

반송 모듈{TRANSFER MODULE}
본 발명은 반도체 웨이퍼, 액정용 기판, 유기 EL 소자 등의 피처리체를 처리하는 처리 챔버에 접속되고, 내부를 진공 상태로 할 수 있는 반송실과, 상기 반송실 내에 설치되고, 처리 챔버와 반송실의 사이에서 피처리체를 전달하는 로봇을 구비하는 반송 모듈에 관한 것이다.
반도체 디바이스나 FPD(Flat Panel Display)의 제조에 있어서, 반도체 기판이나 액정용 기판 등의 피처리체에는 성막, 에칭, 산화, 확산 등의 각종의 처리가 실시된다. 이들 처리는 프로세스 모듈의 처리 챔버 내에서 행해진다. 처리를 안정시키기 위하여 처리 챔버의 내부는 진공으로 유지된다. 처리 챔버의 내부를 진공으로 유지한 채로 피처리체를 교체할 수 있도록 처리 챔버에 접속되는 반송실도 진공이 된다. 반송실 내에는 처리 챔버와 반송실의 사이에서 피처리체를 전달하는 로봇이 탑재된다.
클러스터형 플랫폼으로 불리는 반도체 디바이스의 제조 장치에서는 장치의 중앙에 웨이퍼를 반송하는 로봇이 탑재된 반송 모듈이 배치되고, 반송 모듈의 주위에 방사 형상으로 웨이퍼에 각종의 처리를 행하는 복수의 프로세스 모듈(Process Module : PM)이 배치된다. 이 반송 모듈은 트랜스퍼 모듈(Transfer Module : TM)로 불린다. 반송 모듈에는 대기압 하의 외부와 피처리체를 전달하는 로드록실이 접속된다. 로드록실은 내부를 진공으로 하거나 대기압으로 되돌리는 것이 용이한 작은 공간으로 이루어진다. 대기압 하의 외부에 배치되는 로봇이 웨이퍼를 로드록실로 반송한다. 로드록실이 진공이 된 후, 반송 모듈의 로봇이 로드록실 내의 웨이퍼를 보지(保持)하여 반송실 내로 인입한 후, 프로세스 모듈의 처리 챔버로 전달한다. 프로세스 모듈에서의 처리가 완료되면, 반송 모듈의 로봇은 프로세스 모듈의 처리 챔버로부터 웨이퍼를 수취하여 로드록실로 전달한다. 로드록실은 대기압으로 되돌려지고 대기압 하의 외부에 배치되는 로봇이 웨이퍼를 로드록실로부터 반출한다.
한편, 기판을 반송하는 로봇이 탑재된 하나의 반송 모듈에 대하여, 액정용 기판에 처리를 행하는 하나의 프로세스 모듈이 접속된다. 이 경우, 반송실이 로드록실을 겸하여, 반송실의 내부가 진공으로 되거나 대기압으로 되돌려진다.
반송 모듈의 로봇에는 반송실 내의 좁은 공간에서도 웨이퍼를 반송할 수 있도록 피처리체를 수평면 내에서 선회시키는 기능이나, 피처리체를 방사 방향으로 이동시키는 기능이 요구된다.
선회 기능 및 신축 기능을 구비하는 로봇으로서, 개구리의 다리와 같이 4 개의 링크를 구성한 개구리 다리식의 로봇(특허 문헌 1 참조), 연결된 복수 개의 암이 수평 방향으로 동작하는 스카라형 로봇(특허 문헌 2 참조), 암이 수평면 내에서 회전하고, 암에 장착한 슬라이더가 암에 대하여 반경 방향으로 슬라이딩하는 원통 좌표계 로봇(특허 문헌 3 참조)이 알려져 있다.
일본특허공개공보 평3-136779호 일본특허공개공보 평8-274140호 일본특허공개공보 2004-165579호
근래, 1 칩당의 코스트를 낮추기 위하여 웨이퍼의 사이즈가, 예를 들면 구경 300 mm에서 450 mm로 대형화되고 있다. 웨이퍼의 사이즈의 대형화에 수반하여, 반송실의 대형화도 부득이해졌다. 그러나, 반송실의 치수를 스케일 업(대형화)해도, 종래의 반송실의 구성 그대로는 웨이퍼 사이즈의 대형화에 대응하는 것이 곤란하다. 왜냐하면, 반송실 내의 청소나 로봇의 메인터넌스를 위하여 반송실에는 개폐 가능한 덮개가 설치된다. 반송실의 내부는 진공이므로, 대기압에 의해 덮개에는 톤 단위의 하중이 가해진다. 덮개의 면적을 크게 하면, 면적에 비례하여 덮개에 작용하는 하중도 커진다. 덮개에는 충분한 강도가 요구되므로, 덮개의 판 두께를 늘리거나 빔으로 보강하는 등의 대대적인 대책이 필요해지기 때문이다. 또한, 무거워진 덮개를 용이하게 개폐할 수 있도록 해야 한다는 점에서, 덮개의 개폐를 어시스트하는 가스 스프링 등의 개폐 어시스트 기구도 대형화된다. 물론, 이러한 대책은 반송실의 추가된 코스트 업을 초래한다.
특허 문헌 1에는 반송실 상벽과 하벽의 사이에 회전 가능한 샤프트를 설치하는 것이 기재되어 있다(특허 문헌 1의 9 페이지, 도 10 참조). 그러나, 특허 문헌 1에 기재된 발명에서는 샤프트의 상단 및 하단에 샤프트의 회전을 안내하고 또한 상벽에 작용하는 대기압을 부하(負荷)하는 스러스트 베어링이 설치된다. 파티클(입자)의 발생원이 되는 스러스트 베어링이 피처리체보다 상방에 배치되므로, 피처리체에 파티클(입자)이 부착된다고 하는 문제가 있다.
따라서, 본 발명은 반송실의 강성을 높일 수 있고, 피처리체에 파티클(입자)이 부착되는 것도 방지할 수 있는 반송 모듈을 제공하는 것을 목적으로 한다.
그런데, 반송실의 덮개는 내부를 클리닝하거나 로봇을 점검하기 위하여 정기적으로 열린다. 덮개를 열기 위해서는 반송실의 내부를 대기압으로 되돌려야 한다. 이 때문에, 반송실의 내부에는 질소 등의 가스가 공급된다. 반송 모듈과 프로세스 모듈로 피처리체를 전달할 때에도 프로세스 모듈 내의 프로세스 가스가 반송실로 가지 않도록 반송실의 내부에 압력 조정용의 가스가 공급되는 경우도 있다.
반송실의 내부에 질소 등의 가스나 압력 조정용의 가스를 공급할 경우, 반송실이 대형화해도 반송실의 내부의 전체에 균일하게 가스가 퍼지도록 하는 것이 요구된다. 따라서, 본 발명의 다른 목적은 반송실의 내부에 가스가 균일하게 퍼지도록 할 수 있는 반송 모듈을 제공하는 것에 있다.
상기 과제를 해결하기 위하여, 본 발명의 일태양은, 피처리체를 처리하는 처리 챔버에 접속되고 내부를 진공으로 하는 것이 가능한 반송실과, 상기 반송실 내에 설치되고 상기 처리 챔버와 상기 반송실의 사이에서 피처리체를 전달하는 로봇을 구비하는 반송 모듈에 있어서, 상기 반송실은 개폐 가능한 덮개를 가지고, 상기 로봇은 상기 피처리체를 반송하는 기구의 일부에 중공의 회전축을 가지고, 상기 중공의 회전축 내에는 닫힌 상태의 상기 덮개를 지지하는 기둥이 배치되는 반송 모듈이다.
본 발명의 다른 태양은, 피처리체를 처리하는 처리 챔버에 접속되고 내부를 진공으로 하는 것이 가능한 반송실과, 상기 반송실 내에 설치되고 상기 처리 챔버와 상기 반송실의 사이에서 피처리체를 전달하는 로봇을 구비하는 반송 모듈에 있어서, 상기 반송실은 개폐 가능한 덮개를 가지고, 상기 로봇은 상기 피처리체를 반송하는 기구의 일부에 중공의 회전축을 가지고, 상기 중공의 회전축 내에는, 상기 반송실 내로 가스를 분출하는 분출구를 가지는 기둥이 배치되는 반송 모듈이다.
본 발명의 일태양에 따르면, 중공의 회전축 내에 기둥을 배치함으로써, 로봇이 피처리체를 회전축의 주위를 선회시키거나 방사 방향으로 이동시키거나 할 때, 기둥이 방해가 될 일이 없다. 또한, 대기압에 의해 덮개에 작용하는 하중을 기둥이 부하(負荷)하므로, 덮개의 두께를 얇게 할 수 있어 제조 코스트의 삭감을 도모할 수 있다. 또한, 회전축이 덮개를 지지하지 않는다는 점에서, 피처리체의 상방에 베어링이 배치될 일이 없어, 피처리체에 파티클(입자)이 부착되는 것을 방지할 수 있다.
본 발명의 다른 태양에 따르면, 로봇의 중공의 회전축 내로 가스를 분출하는 기둥을 배치함으로써, 반송실의 대략 중앙으로부터 가스를 분출할 수 있어, 대형화해도 반송실의 내부에 균일하게 가스가 퍼지도록 할 수 있다.
도 1은 클러스터형 플랫폼으로 불리는 반도체 디바이스의 제조 장치의 평면도이다.
도 2는 본 발명의 일 실시예의 반송 모듈(트랜스퍼 모듈)의 사시도이다.
도 3은 상기 반송 모듈의 단면도이다.
도 4는 로봇의 제 1 및 제 2 반송 기구의 동작도이다.
도 5는 기둥의 분출구를 도시한 도면(도면 중 (a)는 사시도, 도면 중 (b)는 단면도)이다.
도 6은 고착 분리 유닛을 도시한 단면도이다.
도 7은 스카라형 로봇을 도시한 사시도이다.
도 8은 원통 좌표계의 로봇의 측면도이다.
도 9는 인라인형의 반도체 디바이스의 제조 장치의 평면도이다.
이하, 첨부 도면을 참조하여 본 발명의 반송 모듈의 일 실시예를 설명한다. 도 1은 본 발명의 반송 모듈을 클러스터형 플랫폼으로 불리는 반도체 디바이스의 제조 장치의 트랜스퍼 모듈에 적용한 예를 도시한다. 이 반도체 디바이스 제조 장치는 주로 입구 반송계(1)와 처리 시스템계(2)로 분류된다.
입구 반송계(1)에는 세로로 길게 형성되는 입구 반송실(3)이 설치된다. 입구 반송실(3)의 측면의 입구 포트(4)에는 피처리체로서의 웨이퍼를 복수 매 수용하는 카세트 용기가 설치된다. 입구 반송실(3)의 길이 방향의 단부(端部)에는 웨이퍼의 노치 등을 인식하여 웨이퍼의 위치 결정을 행하는 위치 결정 장치(5)가 설치된다. 입구 반송실(3)에는 입구 포트(4)와 로드록실(6)의 사이에서 웨이퍼의 전달을 행하는 다관절 로봇(7)이 탑재된다. 다관절 로봇(7)은 입구 반송실(3)의 길이 방향으로 슬라이딩할 수 있도록 슬라이드 축(8)을 가진다. 다관절 로봇(7)의 웨이퍼를 보지(保持)하는 픽업은 웨이퍼를 전달할 수 있도록 수직 방향과 수평 방향으로 이동할 수 있다.
처리 시스템계(2)의 중앙에는 다각형으로 형성된 트랜스퍼 모듈(10)이 배치된다. 트랜스퍼 모듈(10)의 주위에는 방사 형상으로 복수의 프로세스 모듈(11)이 배치된다. 각 프로세스 모듈(11)은 진공 배기된 처리 챔버 내에서 웨이퍼에 성막, 에칭, 산화, 확산 등의 각종의 처리를 행한다. 트랜스퍼 모듈(10)에는 로드록실(6)이 연결된다. 로드록실(6)은 진공 배기와 대기압 복귀가 반복하여 행해지는 작은 공간으로 이루어진다. 트랜스퍼 모듈(10)과 프로세스 모듈(11) 및 트랜스퍼 모듈(10)과 로드록실(6)은 게이트 밸브(13, 16)를 개재하여 연결된다. 로드록실(6)과 입구 반송실(3)은 게이트 밸브(15)를 개재하여 연결된다.
도 2에 도시한 바와 같이, 트랜스퍼 모듈(10)은 평면 다각형으로 형성되는 반송실(14)과, 반송실(14) 내에 탑재되는 로봇(12)을 구비한다. 이 로봇(12)은 로드록실(6)로 반송된 미처리의 웨이퍼를 수취하여 트랜스퍼 모듈(10) 내로 인입한 후, 프로세스 모듈(11)로 보낸다. 또한, 프로세스 모듈(11) 내의 처리 완료 웨이퍼를 수취하여 트랜스퍼 모듈(10) 내로 인입한 후, 로드록실(6)로 반송한다. 로봇(12)은 반송실 내의 수평면 내에서 웨이퍼를 선회시키는 기능과 방사 방향으로 웨이퍼를 이동시키는 기능을 겸비한다. 로봇(12)은 우선 수평면 내에서 웨이퍼(W)를 선회시켜 방사 형상으로 배열된 프로세스 모듈(11) 또는 로드록실(6)의 방향을 향한다. 그리고, 웨이퍼(W)를 방사 방향으로 이동시켜 웨이퍼(W)를 반송실(14)로부터 프로세스 모듈(11) 또는 로드록실(6) 내로 이동시킨다.
반도체 디바이스 제조 장치의 전체의 동작은 이하와 같다. 도 1에 도시한 바와 같이, 우선 다관절 로봇(7)은 입구 포트(4)의 카세트 용기 내에 수용된 웨이퍼를 보지하여 위치 결정 장치(5)로 반송한다. 위치 결정 장치(5)가 웨이퍼를 위치 결정한 후, 다관절 로봇(7)은 웨이퍼를 로드록실(6)로 반송한다. 이 때, 로드록실(6)의 내부는 대기압으로 되어 있다.
이어서, 로드록실(6)의 입구 반송실(3)측의 게이트 밸브(15)를 닫아 로드록실(6)을 진공으로 한다. 그 후, 게이트 밸브(13)를 열어 로드록실(6)과 트랜스퍼 모듈(10)을 연통시킨다. 트랜스퍼 모듈(10)은 미리 진공으로 되어 있다. 트랜스퍼 모듈(10)에 탑재되는 로봇(12)은 로드록실(6) 내의 웨이퍼를 보지하고 반송실(14) 내로 도입한다. 그 후, 로봇(12)은 프로세스 모듈(11)로 웨이퍼를 전달한다. 프로세스 모듈(11)에서의 처리가 완료되면, 로봇(12)은 프로세스 모듈(11)로부터 웨이퍼를 취출하여, 다음의 처리를 행하는(다음의 사이트의) 프로세스 모듈(11)로 웨이퍼를 전달한다. 프로세스 모듈(11)에서의 처리의 전체가 종료되면, 로봇(12)은 프로세스 모듈(11) 내의 웨이퍼를 로드록실(6)로 반송한다.
이어서, 로드록실(6)의 게이트 밸브(13)를 닫고, 게이트 밸브(15)를 열어, 로드록실(6)을 대기압으로 복귀시킨다. 다관절 로봇(7)은 처리가 종료된 웨이퍼를 로드록실(6)로부터 외부로 반출한다.
도 2에 도시한 바와 같이, 트랜스퍼 모듈(10)의 반송실은 사각형, 육각형, 팔각형 등의 프로세스 모듈의 수나 배치에 대응한 다각형의 상자 형상으로 형성된다. 프로세스 모듈(11)의 한 변의 길이는 800 ~ 900 mm 정도이다. 반송실(14)의 다각형의 한 변에 하나의 프로세스 모듈(11)이 접속될 경우, 반송실(14)의 다각형의 한 변의 길이는, 예를 들면 1000 mm 정도로 설정되고, 두 개의 프로세스 모듈(11)이 접속될 경우, 예를 들면 1800 mm 정도로 설정된다.
반송실(14)은 로봇(12)이 수용되는 본체부(21)와, 본체부(21)에 대하여 개폐 가능한 덮개(22)를 가진다. 본체부(21)는 다각형으로 형성되는 저벽부(21a)와, 저벽부(21a)의 주위를 둘러싸는 측벽부(21b)를 가진다. 측벽부(21b)에는 웨이퍼를 반입/반출하기 위한 슬릿(23)이 형성된다. 측벽부(21b)에는 덮개(22)가 개폐 가능하게 장착된다. 덮개(22)의 개폐 동작은 측벽부(21b)에 장착된 힌지에 의해 안내된다. 덮개(22)와 측벽부의 사이에는 반송실(14)의 내부를 밀봉하기 위한 대구경의 O 링(도시하지 않음)이 배치된다. 본체부(21) 및 덮개(22)의 재질은 알루미늄이나 스테인레스이며, 알루미나 등의 보호막이 피막되어 있어도 좋다.
덮개(22)는 다각형의 본체부(21)에 대응하여 다각형으로 형성된다. 덮개(22)에는 반송실(14) 내부의 웨이퍼를 육안으로 확인하거나 측정하기 위한 창이나 센서가 장착된다. 웨이퍼에 처리를 행하고 있는 동안, 반송실(14)의 덮개(22)는 닫혀져 반송실(14)의 내부는 진공이 된다. 반송실(14)의 내부를 청소하거나 로봇(12)을 점검할 때에 덮개(22)가 열린다.
도 3의 단면도에 도시한 바와 같이, 저벽부(21a)의 중앙에는 개구(25)가 형성된다. 저벽부(21a)의 하측에는 개구(25)를 막는 구조체(26)가 장착된다. 이 구조체(26)는 로봇(12)의 베이스를 구성한다. 구조체(26)의 중앙에는 저부로부터 상방으로 돌출되는 기둥(28)이 일체로 형성된다. 기둥(28)의 주위에 로봇(12)의 반송 기구가 조립된다.
도 2에 도시한 바와 같이, 기둥(28)에 대하여 대칭으로 개구리 다리식의 제 1 및 제 2 반송 기구(31, 32)가 배치된다. 로봇(12)은 제 1 및 제 2 반송 기구(31, 32)를 수평면 내에서 선회시키고, 또한 제 1 및 제 2 반송 기구(31, 32)를 방사 방향으로 신축시킨다. 두 개의 반송 기구(31, 32)를 설치함으로써 프로세스 모듈(11)이 비는 시간을 없앨 수 있다. 구체적으로는, 제 1 반송 기구(31)가 프로세스 모듈(11) 내의 처리 완료 웨이퍼(W)를 취출한 직후, 로봇(12)은 수축된 상태의 제 1 및 제 2 반송 기구(31, 32)를 수평면 내에서 180도 회전시킨다. 그리고, 제 2 반송 기구(32)를 신장하여 미처리의 웨이퍼(W)를 프로세스 모듈(11) 내로 반입한다.
제 1 및 제 2 반송 기구(31, 32) 각각은 4 개의 링크를 개구리의 다리와 같이 신축시켜 웨이퍼를 반입/반출한다. 제 1 및 제 2 반송 기구(31, 32)는 기둥(28)으로부터 반경 방향으로 연장되는 제 1 암(33) 및 제 1 암(33)의 하측에 배치되고 기둥(28)으로부터 제 1 암(33)과는 반대 방향으로 연장되는 제 2 암(34)을 구비한다. 제 1 암(33)의 길이와 제 2 암(34)의 길이는 동일하다.
도 2에 도시한 바와 같이, 제 1 암(33)은 기둥(28)을 둘러싸는 중공의 제 1 회전축(36)에 결합된다. 제 2 암(34)은 제 1 회전축(36)을 둘러싸는 중공의 제 2 회전축(37)에 결합된다. 제 1 및 제 2 회전축(36, 37)은 각각 구조체(26)에 결합된 중공의 제 1 및 제 2 다이렉트 드라이브 모터(38, 39)에 의해 회전 구동된다. 다이렉트 모터(38, 39)의 고정자측이 구조체(26)에 결합되고, 가동자측이 회전축(36, 37)에 결합된다. 제 1 및 제 2 회전축(36, 37)의 회전 중심은 기둥(28)의 중심에 일치한다. 다이렉트 드라이브 모터(38, 39)를 이용하는 대신에 중공의 유성(遊星) 기어 기구를 이용하여 제 1 및 제 2 회전축(36, 37)을 회전 구동시켜도 좋다.
도 2에 도시한 바와 같이, 제 1 반송 기구(31)는 제 1 암(33)의 선단에 핀을 개재하여 회전 가능하게 연결되는 제 1 링크(41)와, 제 2 암(34)의 선단에 핀을 개재하여 회전 가능하게 연결되는 제 2 링크(42)를 더 구비한다. 제 1 및 제 2 링크(41, 42)의 길이는 동일하며, 제 1 및 제 2 암(33, 34)의 길이보다 길다. 제 1 링크(41) 및 제 2 링크(42)의 선단에는 웨이퍼(W)를 지지하는 지지체로서의 제 1 지지 플레이트(45)가 핀을 개재하여 회전 가능하게 연결된다. 제 1 및 제 2 링크(41, 42)는 수평면 내를 회전한다.
제 2 반송 기구(32)는 제 1 암(33)의 선단에 핀을 개재하여 회전 가능하게 연결되는 제 3 링크(43)와, 제 2 암(34)의 선단에 핀을 개재하여 회전 가능하게 연결되는 제 4 링크(44)를 더 구비한다. 제 3 링크(43) 및 제 4 링크(44)의 선단에는 웨이퍼(W)를 지지하는 제 2 지지 플레이트(46)가 핀을 개재하여 회전 가능하게 연결된다. 제 3 및 제 4 링크(43, 44)는 수평면 내를 회전한다.
제 1 및 제 2 반송 기구(31, 32)는 도시하지 않는 승강 기구에 의해 상하 방향으로 이동된다. 지지 플레이트(46, 45)에 웨이퍼(W)를 지지시키기 때문이다.
도 3에 도시한 바와 같이, 기둥(28)은 제 1 및 제 2 회전축(36, 37)을 관통하여 상방으로 돌출된다. 기둥(28)의 상단은 닫힌 상태의 덮개(22)에 접촉한다. 반송실(14)의 내부를 진공으로 했을 때, 덮개(22)에는 대기압에 의해 톤 단위의 하중이 작용한다. 덮개(22)에 작용하는 하중은 기둥(28) 및 측벽부(21b)에 의해 지지된다. 기둥(28)에는 덮개(22)로부터 압축 하중만이 작용하고, 모멘트가 작용할 일은 없다. 기둥(28)에 작용하는 압축 하중이 기둥(28)의 좌굴(座屈) 하중 이하가 되도록 기둥(28)의 직경이 설정된다. 기둥(28)의 직경은 50~60 mm 정도로 설정된다.
덮개(22)에는 웨이퍼를 측정하는 센서가 장착된다. 센서가 위치 이탈하지 않도록 하기 위해서는 덮개(22)의 강성을 높여 덮개(22)의 휨을 줄여야 한다. 덮개(22)가 측벽부(21b)에 의해서만 지지될 경우, 덮개(22)를 지지하는 폭(span)이 커지므로, 덮개(22)의 두께를 상당히 두껍게 해야 한다. 이에 반해, 본 실시예와 같이 덮개(22)를 반송실(14)의 중앙의 기둥(28)으로 지지함으로써, 덮개(22)의 두께를 종래의 덮개에 비해 훨씬 얇게 할 수 있어, 대폭적인 코스트 다운이 가능해진다. 또한, 덮개(22)의 중량도 가벼워짐으로써 개폐 어시스트 기구도 간이적(簡易的)(경우에 따라서는 삭감도 할 수 있음)인 것이 되므로, 마찬가지로 코스트 다운을 도모할 수 있다.
도 4는 제 1 및 제 2 반송 기구(31, 32)의 동작도를 도시한다. 도 4의 (a)에 도시한 바와 같이, 제 1 및 제 2 암(33, 34)이 일직선 상에 배열되면(제 1 및 제 2 암(33, 34)이 이루는 각도가 180 도가 됨), 제 1 및 제 2 반송 기구(31, 32)는 접힌 상태가 된다. 이 상태로 제 1 및 제 2 회전축(36, 37)을 같은 방향으로 회전시키면, 접힌 상태의 제 1 및 제 2 반송 기구(31, 32)를 수평면 내에서 선회시킬 수 있다(도 4의 (b)). 접힌 상태의 제 1 및 제 2 반송 기구(31, 32)를 선회시킴으로써, 회전 반경을 줄일 수 있다.
제 1 및 제 2 반송 기구(31, 32)가 접힌 상태(도 4의 (a))에서, 예를 들면 제 1 회전축(36)을 반시계 방향으로 회전시키고, 제 2 회전축(37)을 시계 방향으로 회전시키면, 제 1 반송 기구(31)를 신장하여 제 1 지지 플레이트(45)를 방사 방향으로 이동시킬 수 있다(도 4의 (c)). 이 때, 제 2 반송 기구(32)는 기둥(28)에 접근하지만, 기둥(28)에 닿지는 않는다. 이와는 반대로, 제 1 및 제 2 반송 기구(31, 32)가 접힌 상태(도 4의 (a))에서, 제 1 회전축(36)을 시계 방향으로 회전시키고, 제 2 회전축(37)을 반시계 방향으로 회전시키면, 제 2 반송 기구(32)를 신장하여 제 2 지지 플레이트(46)를 방사 방향으로 이동시킬 수 있다(도 4의 (d)). 이 때, 제 1 반송 기구(31)는 기둥(28)을 향하여 이동하지만, 기둥(28)에 닿지는 않는다.
도 5의 (a)는 기둥(28)에 가스를 분출하는 분출구(47)를 형성한 예를 도시한다. 도 5의 (b)에 도시한 바와 같이, 기둥(28)의 중심부에는 상하 방향으로 연장되는 가스 통로(28a)가 형성된다. 가스 통로(28a)는 기둥(28)의 상단부에서 방사 형상으로 분기한다(28b 참조). 기둥(28)의 외주면에는 둘레 방향으로 균등 간격을 두고 가스의 분출구(47)가 형성된다. 가스의 분출구(47)로부터 질소 등의 기체를 분출함으로써 반송실(14)의 내부를 대기압으로 되돌리는 것이 가능해진다.
또한, 트랜스퍼 모듈(10)과 프로세스 모듈(11)과의 사이에서 웨이퍼를 전달할 때에, 프로세스 모듈(11) 내의 프로세스 가스가 반송실(14)로 가지 않도록 분출구(47)로부터 압력 조정용의 가스를 분출시켜도 좋다. 기둥(28)은 반송실(14)의 대략 중앙에 배치되므로, 반송실(14) 주위의 방사 형상의 복수의 프로세스 모듈(11)을 향하여 대략 동일한 거리로부터 가스를 분출할 수 있다. 이 때문에, 모든 프로세스 모듈(11)에 대하여 동일하게 가스가 누출되는 것을 방지할 수 있게 된다. 이에 반해, 만약 기둥(28)이 중앙으로부터 벗어나 있으면, 기둥(28)으로부터 먼 위치의 프로세스 모듈(11)에서 프로세스 가스가 누출되는 것을 방지하는 것이 곤란해진다.
도 6은 기둥(28)의 상부에 고착 분리 유닛을 배치한 예를 도시한다. 덮개(22)의 중앙부에는 암나사(22a)가 형성되고, 이 암나사(22a)에 수나사(52)가 나합한다. 수나사(52)의 하단은 기둥(28)의 상단에 접촉한다. 수나사(52)를 돌림으로써 덮개(22)를 기둥(28)으로부터 들어 올릴 수 있다. 기둥(28)의 상면과 덮개(22)의 하면의 사이에는 수나사(52)를 둘러싸도록 환상(環狀)의 O 링(53)이 배치된다. 덮개(22)의 하중은 O 링(53)을 개재하여 기둥(28)에 의해 지지된다.
상술한 바와 같이, 측벽부(21b)와 덮개(22)의 사이에는 반송실(14)의 내부를 씰링하기 위한 대구경의 O 링이 배치된다. 이 대구경의 O 링의 재질에는 불소계의 고무가 사용된다. 불소계의 고무는 고착성을 가진다. 덮개(22)를 대기압으로 누른 상태로 시간이 경과하면, 대구경의 O 링이 덮개(22)에 고착된다. 이렇게 되면, 반송실(14)의 내부를 대기압으로 되돌려도 덮개(22)를 여는 것이 곤란해진다. 고착 분리 유닛을 설치함으로써, 덮개(22)를 기둥(28)으로부터 들어 올릴 수 있고, O 링이 고착되어도 덮개(22)를 들어 올릴 수 있다.
본 발명은 상기의 개구리 다리식의 반송 기구를 가지는 로봇에 한정되지 않고, 중공축을 중심으로 웨이퍼를 선회시키고, 또한 웨이퍼를 방사 방향으로 이동시키는 기구를 가지는 로봇이면, 스카라형 로봇이나 원통 좌표계의 로봇에 적용할 수 있다.
도 7은 스카라형 로봇을 도시한다. 스카라형 로봇은 수평면 내에서 선회하는 복수 개의 암(51, 56)을 가진다. 제 1 암(51)은 도시하지 않은 중공의 회전축을 중심으로 회전한다. 중공의 회전축 내에는 기둥(54)이 배치된다. 이 스카라형 로봇에서는 제 1 암(51)을 회전시킴으로써 웨이퍼(W)를 수평면 내에서 선회시킬 수 있다. 그리고, 제 1 암(51) 및 제 2 암(56)을 반대 방향으로 회전시킴으로써 웨이퍼(W)를 방사 방향으로 이동시킬 수 있다.
도 8은 원통 좌표계의 로봇을 도시한다. 이 로봇은 웨이퍼를 선회시키는 θ 축(61)과, 웨이퍼를 반경 방향으로 슬라이딩시키는 R 축(62)을 구비한다. θ 축(61)은 중공의 회전축을 가진다. θ 축(61)의 중공의 회전축 내를 기둥(64)이 관통한다. R 축(62)에는 웨이퍼가 반경 방향으로 이동하는 것을 안내하는 리니어 가이드가 설치된다. R 축(62)의 리니어 가이드의 블록(63)을 벨트(65) 등에 의해 직선적으로 구동시킴으로써 웨이퍼를 반경 방향으로 이동시킬 수 있다.
또한, 본 발명은 상기 실시예에 한정되지 않고, 본 발명의 요지를 변경하지 않는 범위에서 다양하게 변경 가능하다.
예를 들면, 본 발명의 반송 모듈은 반도체 디바이스의 제조 장치에 한정되지 않고, FPD의 제조 장치에 적용할 수도 있다. 이 경우, 액정용 기판을 반송하는 로봇이 탑재된 하나의 반송 모듈에, 처리를 행하는 하나의 프로세스 모듈이 접속된다. 그리고, 반송실이 로드록실을 겸하여, 반송실의 내부가 진공으로 되거나 대기압으로 되돌려진다.
또한, 본 발명의 반송 모듈은, 도 9에 도시한 바와 같이, 웨이퍼의 입구와 출구가 상이한 인라인형의 반도체 디바이스의 제조 장치에도 적용할 수 있다. 입구측의 반송 모듈(71)은 프로세스 모듈(73) 내로 웨이퍼를 넣기만 하면 되고, 출구측의 반송 모듈(72)은 프로세스 모듈(73)로부터 웨이퍼를 취출하기만 하면 된다.
반송 모듈의 로봇은 두 개의 반송 기구를 구비하지 않아도 되고, 하나의 반송 기구를 구비하기만 해도 된다. 프로세스 모듈로 웨이퍼를 처리한 후, 처리 챔버 내를 클리닝할 경우, 로봇의 반송 기구가 하나여도 충분히 일을 할 수 있다.
기둥에 복수의 분출구를 형성했을 경우, 기둥으로 덮개를 지지하지 않아도 된다. 기둥에는 반송실의 내부의 웨이퍼의 이동을 감시하는 CCD 카메라 등을 장착해도 좋다.
본 명세서는 2009년 6월 3일 출원의 일본특허출원 2009-134496에 기초한다. 이 내용은 모두 여기에 포함된다.
10: 트랜스퍼 모듈(반송 모듈)
11: 프로세스 모듈
12: 로봇
14: 반송실
21: 본체부
22: 덮개
26: 구조체
28, 54, 64: 기둥
31, 32: 제 1 및 제 2 반송 기구
33: 제 1 암
34: 제 2 암
36: 제 1 회전축
37: 제 2 회전축
41: 제 1 링크
42: 제 2 링크
43: 제 3 링크
44: 제 4 링크
45: 제 1 지지 플레이트(지지체)
46: 제 2 지지 플레이트(지지체)
47: 분출구
52: 수나사(나사)
53: O 링(씰링 부재)

Claims (7)

  1. 피처리체를 처리하는 처리 챔버에 접속되고 내부를 진공으로 하는 것이 가능한 반송실과, 상기 반송실 내에 설치되고 상기 처리 챔버와 상기 반송실의 사이에서 피처리체를 전달하는 로봇을 구비하는 반송 모듈에 있어서,
    상기 반송실은 개폐 가능한 덮개를 가지고,
    상기 로봇은 상기 피처리체를 반송하는 기구의 일부에 중공의 회전축을 가지고,
    상기 중공의 회전축 내에는 닫힌 상태의 상기 덮개를 지지하는 기둥이 배치되는 반송 모듈.
  2. 제 1 항에 있어서,
    상기 기둥에는 상기 반송실 내로 가스를 분출하는 분출구가 형성되는 것을 특징으로 하는 반송 모듈.
  3. 피처리체를 처리하는 처리 챔버에 접속되고 내부를 진공으로 하는 것이 가능한 반송실과, 상기 반송실 내에 설치되고 상기 처리 챔버와 상기 반송실의 사이에서 피처리체를 전달하는 로봇을 구비하는 반송 모듈에 있어서,
    상기 반송실은 개폐 가능한 덮개를 가지고,
    상기 로봇은 상기 피처리체를 반송하는 기구의 일부에 중공의 회전축을 가지고,
    상기 중공의 회전축 내에는 상기 반송실 내로 가스를 분출하는 분출구를 가지는 기둥이 배치되는 반송 모듈.
  4. 제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
    상기 덮개에는 상기 기둥의 상부에 접촉 가능한 나사가 나합하고,
    상기 기둥에 접촉한 상기 나사를 돌림으로써, 상기 덮개가 상기 기둥으로부터 들어 올려지는 것을 특징으로 하는 반송 모듈.
  5. 제 4 항에 있어서,
    상기 기둥의 상부와 상기 덮개의 사이에는 상기 나사를 둘러싸도록 환상(環狀)의 씰링 부재가 설치되는 것을 특징으로 하는 반송 모듈.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 로봇의 상기 기구는 신축 가능한 개구리 다리식의 반송 기구이며,
    상기 개구리 다리식의 반송 기구는,
    중공의 제 1 회전축과,
    중공의 상기 제 1 회전축의 외주측 또는 내주측에 배치되는 중공의 제 2 회전축과,
    상기 제 1 회전축에 결합되는 제 1 암과,
    상기 제 2 회전축에 결합되는 제 2 암과,
    상기 제 1 암에 회전 가능하게 연결되는 제 1 링크와,
    상기 제 2 암에 회전 가능하게 연결되는 제 2 링크와,
    상기 제 1 및 제 2 링크에 회전 가능하게 연결되고 피처리체를 지지하는 제 1 지지체를 가지고,
    상기 기둥은, 중공의 상기 제 1 및 상기 제 2 회전축을 관통하는 것을 특징으로 하는 반송 모듈.
  7. 제 6 항에 있어서,
    상기 개구리 다리식의 반송 기구는, 상기 기둥에 대하여 대칭으로 배치되는 제 1 및 제 2 개구리 다리식의 반송 기구를 포함하고,
    제 1 개구리 다리식의 반송 기구는, 상기 제 1 및 상기 제 2 회전축, 상기 제 1 및 상기 제 2 암, 상기 제 1 및 상기 제 2 링크 및 상기 제 1 지지체를 가지고,
    제 2 개구리 다리식의 반송 기구는, 상기 제 1 및 상기 제 2 회전축, 상기 제 1 및 상기 제 2 암, 상기 제 1 암에 회전 가능하게 연결되는 제 3 링크, 상기 제 2 암에 회전 가능하게 연결되는 제 4 링크, 상기 제 3 및 제 4 링크에 회전 가능하게 연결되고 피처리체를 지지하는 제 2 지지체를 가지고,
    접힌 상태의 상기 제 1 및 상기 제 2 개구리 다리식의 반송 기구의 일방을 신장했을 때, 타방의 개구리 다리식의 반송 기구가 상기 기둥에 접근하되, 상기 기둥에 닿지 않는 것을 특징으로 하는 반송 모듈.
KR1020117028914A 2009-06-03 2010-05-20 반송 모듈 KR20120023055A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2009-134496 2009-06-03
JP2009134496A JP5306908B2 (ja) 2009-06-03 2009-06-03 搬送モジュール

Publications (1)

Publication Number Publication Date
KR20120023055A true KR20120023055A (ko) 2012-03-12

Family

ID=43297615

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117028914A KR20120023055A (ko) 2009-06-03 2010-05-20 반송 모듈

Country Status (6)

Country Link
US (1) US20120087766A1 (ko)
JP (1) JP5306908B2 (ko)
KR (1) KR20120023055A (ko)
CN (1) CN102460676A (ko)
TW (1) TWI417983B (ko)
WO (1) WO2010140478A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9324594B2 (en) 2010-12-22 2016-04-26 Brooks Automation, Inc. Workpiece handling modules
JP5675416B2 (ja) * 2011-02-17 2015-02-25 東京エレクトロン株式会社 被処理体の搬送方法及び被処理体処理装置
DE102013018291B4 (de) * 2013-10-31 2021-06-10 Asys Automatic Systems Gmbh & Co. Kg Arbeitseinheit für eine Reinraumanlage, sowie Arbeitsverbund hierzu
US10453725B2 (en) * 2017-09-19 2019-10-22 Applied Materials, Inc. Dual-blade robot including vertically offset horizontally overlapping frog-leg linkages and systems and methods including same

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2919065B2 (ja) * 1990-11-29 1999-07-12 株式会社東芝 搬送装置
JPH0773833B2 (ja) * 1992-04-23 1995-08-09 アプライド マテリアルズ インコーポレイテッド ロボット・アセンブリ
JPH0710932U (ja) * 1993-07-15 1995-02-14 東芝機械株式会社 気相成長装置
EP0634699A1 (en) * 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
ES2229247T3 (es) * 1995-03-28 2005-04-16 Brooks Automation Gmbh Estacion de carga y descarga para instalaciones de tratamiento de semiconductores.
AU6962196A (en) * 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
JPH10335423A (ja) * 1997-06-02 1998-12-18 F O I:Kk 真空チャンバ
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
JP4330703B2 (ja) * 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
JP2001035800A (ja) * 1999-07-22 2001-02-09 Hitachi Ltd 半導体のエピタキシャル成長装置および成長方法
US6149365A (en) * 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
JP2007005435A (ja) * 2005-06-22 2007-01-11 Rorze Corp 処理装置
JP4352467B2 (ja) * 2006-05-29 2009-10-28 株式会社エフオーアイ 真空チャンバ用回転伝動機構

Also Published As

Publication number Publication date
TWI417983B (zh) 2013-12-01
JP5306908B2 (ja) 2013-10-02
CN102460676A (zh) 2012-05-16
TW201110260A (en) 2011-03-16
WO2010140478A1 (ja) 2010-12-09
JP2010283090A (ja) 2010-12-16
US20120087766A1 (en) 2012-04-12

Similar Documents

Publication Publication Date Title
KR101443457B1 (ko) 반송 로봇
US20190375105A1 (en) Substrate deposition systems, robot transfer apparatus, and methods for electronic device manufacturing
TWI455225B (zh) 多層式負戴鎖定室與傳送腔及適於接合上述的機械臂
US9299598B2 (en) Robot with integrated aligner
US9446910B2 (en) Substrate transfer robot, substrate transfer system, and method for transferring substrate
US8011874B2 (en) Transfer apparatus
KR20110052454A (ko) 아암 기구 및 그것을 구비한 진공 로봇
JP5482500B2 (ja) 基板処理装置
US20150243490A1 (en) Substrate processing apparatus and substrate processing method
TW201145430A (en) Conveyance arm and conveyance robot with same
KR20120023055A (ko) 반송 모듈
KR20190046808A (ko) 기판 반송 핸드, 기판 반송 로봇 및 기판 이송 장치
KR20190093693A (ko) 공간적 ald 프로세스 균일성을 개선하기 위한 웨이퍼 회전을 위한 장치 및 방법들
US8979463B2 (en) Load port apparatus
JP5021397B2 (ja) 搬送装置
US20220213594A1 (en) Process module, substrate processing system, and processing method
JP2007019216A (ja) 基板の搬送ロボット
TW201338083A (zh) 驅動裝置及基板處理系統
US20230084971A1 (en) Robot, and substrate transportation system comprising the same
KR101246775B1 (ko) 반송 장치 및 이 반송 장치를 구비한 피처리체 처리 장치
JP2010238878A (ja) 搬送室
JP2010153687A (ja) 基板搬送ロボットおよび基板搬送装置
JP2010287745A (ja) 搬送モジュール
KR20240014258A (ko) 에칭 장비
JP4223504B2 (ja) 液処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application