KR20100088506A - Facility and method for treating substrates - Google Patents

Facility and method for treating substrates Download PDF

Info

Publication number
KR20100088506A
KR20100088506A KR1020090027375A KR20090027375A KR20100088506A KR 20100088506 A KR20100088506 A KR 20100088506A KR 1020090027375 A KR1020090027375 A KR 1020090027375A KR 20090027375 A KR20090027375 A KR 20090027375A KR 20100088506 A KR20100088506 A KR 20100088506A
Authority
KR
South Korea
Prior art keywords
module
chamber
substrate
buffer
exposure
Prior art date
Application number
KR1020090027375A
Other languages
Korean (ko)
Other versions
KR101166109B1 (en
Inventor
김동호
최진영
고재승
노형래
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to TW099102592A priority Critical patent/TWI466214B/en
Priority to JP2010019560A priority patent/JP2010177673A/en
Priority to US12/656,449 priority patent/US20100192844A1/en
Priority to CN2010101035029A priority patent/CN101814422B/en
Publication of KR20100088506A publication Critical patent/KR20100088506A/en
Application granted granted Critical
Publication of KR101166109B1 publication Critical patent/KR101166109B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/3042Imagewise removal using liquid means from printing plates transported horizontally through the processing stations
    • G03F7/3057Imagewise removal using liquid means from printing plates transported horizontally through the processing stations characterised by the processing units other than the developing unit, e.g. washing units
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70341Details of immersion lithography aspects, e.g. exposure media or control of immersion liquid supply
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

PURPOSE: A facility and a method for processing substrates are provided to shorten a time for processing substrates by eliminating a cleaning solution, which is remained on substrates, without a dry nozzle. CONSTITUTION: A container(20), in which substrates are loaded, is arranged on a load part(100). A first buffer module(300) includes a buffer, in which the substrates are temporarily placed. An index module(200) transfers the substrates between the load port and the first buffer module. A coating and developing module(400) applies photo resists on the substrates and performs a developing process. A second buffer module(500) includes a buffer, in which the substrates are temporarily placed. An interface module(700) is connected with the exposure unit.

Description

기판 처리 설비 및 기판 처리 방법{FACILITY AND METHOD FOR TREATING SUBSTRATES}Substrate Processing Facility and Substrate Processing Method {FACILITY AND METHOD FOR TREATING SUBSTRATES}

본 발명은 기판을 처리하는 설비 및 방법에 관한 것으로, 더 상세하게는 웨이퍼에 포토 리소그래피 공정을 수행하는 데 사용되는 설비 및 방법에 관한 것이다.The present invention relates to equipment and methods for processing substrates, and more particularly, to equipment and methods used to perform photolithography processes on wafers.

반도체 소자를 제조하기 위해서는 세정, 증착, 포토 리소그래피, 에칭, 그리고 이온주입 등과 같은 다양한 공정이 수행된다. 패턴을 형성하기 위해 수행되는 포토 리소그래피 공정은 반도체 소자의 고집적화를 이루는데 중요한 역할을 수행한다.In order to manufacture a semiconductor device, various processes such as cleaning, deposition, photolithography, etching, and ion implantation are performed. Photolithography processes performed to form patterns play an important role in achieving high integration of semiconductor devices.

일반적으로 포토 리소그래피 공정을 수행하는 설비는 웨이퍼에 레지스트를 도포하는 도포 챔버, 노광이 완료된 웨이퍼에 대해 현상 공정을 수행하는 현상 챔버, 그리고 노광 장치와의 인라인 연결을 위한 인터페이스를 가진 처리 모듈을 가진다. 그러나 최근에는 상술한 공정들 이외에도 노광 전 및 노광 후에 더욱 많은 수의 공정들이 요구되고 있다. 일반적인 설비에서는 각각의 공정을 수행하는 챔버들 및 웨이퍼를 반송하는 반송 로봇이 비효율적으로 배치되어 있어, 반송 로봇의 스케쥴을 효율적으로 제공할 수 없다. In general, an apparatus for performing a photolithography process has a coating chamber for applying a resist to a wafer, a developing chamber for performing a developing process on an exposed wafer, and a processing module having an interface for inline connection with the exposure apparatus. Recently, however, in addition to the processes described above, a greater number of processes are required before and after exposure. In a typical facility, chambers that perform each process and a transfer robot that carries wafers are inefficiently arranged, and thus, a schedule of the transfer robot cannot be efficiently provided.

본 발명은 포토리소그래피 공정의 효율을 향상시킬 수 있는 기판 처리 설비 및 방법을 제공한다.The present invention provides a substrate processing apparatus and method that can improve the efficiency of a photolithography process.

본 발명은 반송 로봇의 처리량 증가로 인해 공정이 적체되는 것을 방지할 수 있는 기판 처리 설비 및 방법을 제공한다.The present invention provides a substrate processing facility and method that can prevent the process from accumulating due to increased throughput of the transfer robot.

본 발명은 공정을 수행하는 챔버들이 효율적으로 배치되도록 하는 레이아웃을 가진 기판 처리 설비를 제공한다.The present invention provides a substrate processing facility having a layout that allows the chambers that perform the process to be efficiently disposed.

본 발명의 목적은 여기에 제한되지 않으며, 언급되지 않은 또 다른 목적들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다. The objects of the present invention are not limited thereto, and other objects not mentioned can be clearly understood by those skilled in the art from the following description.

본 발명은 포토 리소그래피 공정을 수행하는 기판 처리 설비를 제공한다. 기판 처리 설비는 기판들이 수용되는 용기가 놓이는 로드 포트, 기판들을 일시적으로 보관하는 버퍼를 가지는 제 1 버퍼 모듈, 상기 로드 포트와 상기 제 1 버퍼 모듈 간에 기판을 운반하는 인덱스 모듈, 기판에 대해 포토 레지스트 도포 공정 및 현상 공정을 수행하는 도포 및 현상 모듈, 기판들을 일시적으로 보관하는 버퍼를 가지는 제 2 버퍼 모듈, 기판에 대해 포토 레지스트 도포 공정과 노광 공정 사이 및 상기 노광 공정과 현상 공정 사이에 요구되는 공정을 수행하는 노광 전후 처리 모듈, 그리고 노광 장치와 연결되는 인터페이스 모듈을 포함한다. 상기 로드 포트, 상기 인 덱스 모듈, 상기 제 1 버퍼 모듈, 상기 도포 및 현상 모듈, 상기 제 2 버퍼 모듈, 상기 노광 전후 처리 모듈, 그리고 상기 인터페이스 모듈은 제 1 방향을 따라 일렬로 배치된다. 상기 노광 전후 처리 모듈은 기판 상에 보호막을 도포하는 보호막 도포 챔버를 포함할 수 있다. 상기 노광 전후 처리 모듈은 기판을 세정하는 세정 챔버를 포함할 수 있다. The present invention provides a substrate processing apparatus for performing a photolithography process. The substrate processing facility includes a load port in which a container in which the substrates are housed, a first buffer module having a buffer for temporarily storing the substrates, an index module for transporting the substrate between the load port and the first buffer module, and a photoresist for the substrate. A coating and developing module for performing the coating process and the developing process, a second buffer module having a buffer for temporarily storing the substrates, a process required between the photoresist coating process and the exposure process for the substrate and between the exposure process and the developing process And a pre-exposure processing module for performing the step, and an interface module connected to the exposure apparatus. The load port, the index module, the first buffer module, the coating and developing module, the second buffer module, the pre-exposure processing module, and the interface module are arranged in a line along a first direction. The pre-exposure before and after processing module may include a protective film applying chamber for applying a protective film on a substrate. The pre-exposure before and after processing module may include a cleaning chamber for cleaning the substrate.

일 예에 의하면, 상기 노광 전후 처리 모듈은 서로 층으로 구획되는 전처리 모듈과 후처리 모듈을 포함하고, 상기 보호막 도포 챔버는 상기 전처리 모듈에 배치되고, 상기 세정 챔버는 상기 후처리 모듈에 배치될 수 있다. 또한 상기 전처리 모듈은 기판에 대해 베이크 공정을 수행하는 베이크 챔버와 상기 베이크 챔버와 상기 보호막 도포 챔버 간에 기판을 운반하는 전처리 로봇을 더 포함하고, 상기 후처리 모듈은 기판에 대해 노광 후 베이크 공정을 수행하는 노광 후 베이크 챔버와 상기 세정 챔버와 상기 노광 후 베이크 챔버 간에 기판을 운반하는 후처리 로봇을 더 포함할 수 있다.In example embodiments, the pre-exposure before and after processing module may include a pretreatment module and a post-treatment module partitioned into layers, the protective film applying chamber may be disposed in the pretreatment module, and the cleaning chamber may be disposed in the post-treatment module. have. The pretreatment module may further include a bake chamber for performing a bake process on the substrate and a pretreatment robot for transporting the substrate between the bake chamber and the protective coating layer, and the postprocessing module performs a post-exposure bake process on the substrate. The apparatus may further include a post-processing robot for transporting the substrate between the post-exposure bake chamber and the cleaning chamber and the post-exposure bake chamber.

상기 제 2 버퍼 모듈은 기판의 에지를 노광하는 에지 노광 챔버와 상기 에지 노광 챔버에 대해 기판을 반송하는 제 2 버퍼 로봇을 더 포함할 수 있다. 상기 제 2 버퍼 모듈은 기판을 냉각하는 냉각 챔버를 더 포함할 수 있다. The second buffer module may further include an edge exposure chamber that exposes an edge of the substrate and a second buffer robot that transports the substrate to the edge exposure chamber. The second buffer module may further include a cooling chamber for cooling the substrate.

일 예에 의하면, 상기 도포 및 현상 모듈은 서로 간에 층으로 구획되는 도포 모듈과 현상 모듈을 포함하되, 상기 도포 모듈은 기판 상에 포토 레지스트를 도포하는 도포 챔버, 기판에 대해 열처리를 하는 베이크 챔버, 상기 도포 모듈의 상기 베이크 챔버와 상기 도포 챔버 간에 기판을 운반하는 도포 로봇을 포함하고, 상기 현상 모듈은 기판에 대해 현상 공정을 수행하는 현상 챔버, 기판에 대해 열처리를 하는 베이크 챔버, 상기 현상 모듈의 상기 베이크 챔버와 상기 현상 챔버 간에 기판을 운반하는 현상 로봇을 더 포함할 수 있다. In one embodiment, the coating and developing module includes a coating module and a developing module partitioned into layers between each other, wherein the coating module is a coating chamber for applying a photoresist on a substrate, a baking chamber for performing a heat treatment on the substrate, A coating robot for transporting a substrate between the baking chamber and the coating chamber of the coating module, wherein the developing module includes a developing chamber performing a developing process on the substrate, a baking chamber performing heat treatment on the substrate, and The apparatus may further include a developing robot configured to transport a substrate between the baking chamber and the developing chamber.

상기 도포 모듈과 상기 전처리 모듈은 동일 높이에 배치되고, 상기 현상 모듈과 상기 후처리 모듈은 동일 높이에 배치될 수 있다. 상기 제 2 버퍼 모듈은 기판에 대해 냉각 공정을 수행하는 냉각 챔버를 포함하고, 상기 제 2 버퍼 모듈의 상기 버퍼는 상기 도포 모듈과 대응되는 높이에 배치되고, 상기 냉각 챔버는 상기 현상 모듈과 대응되는 높이에 배치될 수 있다. The coating module and the pretreatment module may be disposed at the same height, and the developing module and the post treatment module may be disposed at the same height. The second buffer module includes a cooling chamber for performing a cooling process on a substrate, wherein the buffer of the second buffer module is disposed at a height corresponding to the application module, and the cooling chamber corresponds to the developing module. May be placed at a height.

상기 인터페이스 모듈은 상기 전처리 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼, 상기 후처리 모듈과 대응되는 높이에 배치되며, 기판을 일시적으로 보관하는 제 2 버퍼, 그리고 상기 제 1 버퍼와 상기 노광 장치, 그리고 상기 제 2 버퍼와 상기 노광 장치 간에 기판을 운반하는 인터페이스 로봇을 포함할 수 있다. ,The interface module is a first buffer disposed at a height corresponding to the pretreatment module to temporarily store the substrate, a second buffer disposed at a height corresponding to the post-processing module and temporarily storing the substrate, and the first buffer. It may include a buffer and the exposure apparatus, and an interface robot for transporting the substrate between the second buffer and the exposure apparatus. ,

상기 보호막 도포 챔버, 상기 전처리 로봇이 제공된 반송 챔버, 그리고 상기 전처리 모듈의 상기 베이크 챔버는 상부에서 바라볼 때 상기 제 1 방향과 수직한 제 2 방향으로 순차적으로 배치되고, 상기 세정 챔버, 상기 후처리 로봇이 배치된 반송 챔버, 그리고 상기 노광 후 베이크 챔버는 상부에서 바라볼 때 상기 제 2 방향으로 순차적으로 배치될 수 있다. 상기 전처리 로봇이 제공된 반송 챔버와 상기 후처리 로봇이 제공된 반송 챔버 각각은 상부에서 바라볼 때 상기 제 1 방향을 따라 상기 제 2 버퍼 모듈의 버퍼와 나란하게 배치될 수 있다. 상기 도포 로봇이 제 공된 반송 챔버와 상기 현상 로봇이 제공된 반송 챔버는 각각 상부에서 바라볼 때 상기 제 2 버퍼 모듈의 상기 버퍼와 제 1 방향을 따라 나란하게 배치될 수 있다.The protective film applying chamber, the transfer chamber provided with the pretreatment robot, and the bake chamber of the pretreatment module are sequentially disposed in a second direction perpendicular to the first direction when viewed from above, and the cleaning chamber and the post-treatment. The transfer chamber in which the robot is disposed, and the post-exposure bake chamber may be sequentially disposed in the second direction when viewed from the top. Each of the transfer chamber provided with the pretreatment robot and the transfer chamber provided with the post-processing robot may be disposed in parallel with the buffer of the second buffer module along the first direction when viewed from the top. The transfer chamber provided with the application robot and the transfer chamber provided with the developing robot may be arranged in parallel with the buffer of the second buffer module in a first direction when viewed from the top.

상기 제 2 버퍼 모듈은 기판의 에지를 노광하는 에지 노광 챔버와 상기 에지 노광 챔버에 대해 기판을 반송하는 제 2 버퍼 로봇을 포함하고, 상기 제 2 버퍼 모듈의 버퍼, 상기 제 2 버퍼 로봇, 그리고 상기 에지 노광 챔버는 상부에서 바라볼 때 상기 제 1 방향과 수직한 제 2 방향을 따라 순차적으로 배치될 수 있다. The second buffer module includes an edge exposure chamber that exposes an edge of the substrate and a second buffer robot that transports the substrate to the edge exposure chamber, wherein the buffer of the second buffer module, the second buffer robot, and the The edge exposure chamber may be sequentially disposed along a second direction perpendicular to the first direction when viewed from the top.

또한, 본 발명은 기판을 처리하는 방법을 제공한다. 상기 기판 처리 방법은 기판 상에 포토 레지스트를 도포하는 공정을 수행하는 단계, 상기 포토 레지스트가 도포된 기판 상에 보호막을 도포하는 공정을 수행하는 단계, 상기 보호막이 도포된 기판에 대해 액침 노광 공정을 수행하는 단계, 상기 액침 노광이 수행된 기판을 세정하는 공정을 수행하는 단계, 그리고 상기 기판에 대해 현상 공정을 수행하는 단계를 포함한다. The present invention also provides a method of treating a substrate. The substrate treating method may include performing a process of applying a photoresist on a substrate, performing a process of applying a protective film on the substrate on which the photoresist is applied, and performing a liquid immersion exposure process on the substrate on which the protective film is applied. Performing a process of cleaning the substrate on which the immersion exposure has been performed, and performing a developing process on the substrate.

상기 기판을 세정하는 공정과 상기 기판에 대해 현상 공정을 수행하는 단계 사이에, 상기 기판에 대해 노광 후 베이크 공정을 수행하는 단계가 더 포함될 수 있다. The method may further include performing a post-exposure bake process on the substrate, between the cleaning of the substrate and the developing process on the substrate.

일 예에 의하면, 상기 기판을 세정하는 공정은 세정액을 기판으로 공급하여 이루어지고, 상기 기판 상에 잔류하는 세정액의 제거는 유체의 공급 없이 기판의 가열에 의해 이루어질 수 있다. According to an example, the process of cleaning the substrate may be performed by supplying a cleaning liquid to the substrate, and removal of the cleaning liquid remaining on the substrate may be performed by heating the substrate without supplying a fluid.

일 예에 의하면, 상기 기판을 세정하는 공정은 세정액을 이용하여 기판을 세정하고, 상기 기판 상에 잔류하는 세정액을 제거하는 공정은 상기 기판을 세정하는 공정의 직후에 이루어지는 상기 노광 후 베이크 공정이 수행될 수 있다. According to an example, the process of cleaning the substrate may be performed by cleaning the substrate using a cleaning liquid, and the process of removing the cleaning liquid remaining on the substrate may be performed by the post-exposure bake process performed immediately after the process of cleaning the substrate. Can be.

일 예에 의하면, 상기 보호막은 상기 현상 공정 동안 또는 상기 현상 공정 이후에 제거될 수 있다. In some embodiments, the passivation layer may be removed during or after the developing process.

일 예에 의하면, 상기 보호막의 일부는 상기 현상 공정에서 제거되고, 나머지 일부는 애싱 공정에서 제거될 수 있다.In some embodiments, a portion of the passivation layer may be removed in the developing process, and the other part may be removed in the ashing process.

본 발명에 의하면, 포토 리소그래피 공정을 효율적으로 수행할 수 있다.According to the present invention, a photolithography process can be performed efficiently.

본 발명에 의하면, 화학 증폭형 포토레지스트가 사용되는 경우, 노광 후 베이크 공정을 노광 공정 후 빠른 시간 내에 처리할 수 있다.According to the present invention, when a chemically amplified photoresist is used, the post-exposure bake process can be processed in a short time after the exposure process.

본 발명에 의하면, 세정 챔버에 별도의 건조 노즐을 제공하지 않고, 노광 후 베이크 유닛에서 산 증폭과 함께 기판 상에 잔류하는 세정액을 제거할 수 있으므로 공정에 소요되는 시간을 줄일 수 있다. According to the present invention, since the cleaning liquid remaining on the substrate can be removed together with acid amplification in the bake unit after exposure, a separate drying nozzle is not provided in the cleaning chamber, thereby reducing the time required for the process.

본 발명에 의하면, 노광 전후 처리 유닛에 별도의 보호막 제거 챔버를 제공하지 않고, 후속되는 현상 공정과 애싱 공정에서 보호막을 제거하므로, 공정에 소요되는 시간을 줄일 수 있다.According to the present invention, since the protective film is removed in a subsequent developing step and an ashing step without providing a separate protective film removing chamber in the pre-exposure processing unit, the time required for the process can be reduced.

이하, 본 발명의 실시 예를 첨부된 도면 도 1 내지 도 6g를 참조하여 더욱 상세히 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다. Hereinafter, embodiments of the present invention will be described in more detail with reference to FIGS. 1 to 6G. The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more completely explain the present invention to those skilled in the art. Therefore, the shape of the elements in the drawings are exaggerated to emphasize a more clear description.

본 실시예의 설비는 반도체 웨이퍼 또는 평판 표시 패널과 같은 기판에 대해 포토리소그래피 공정을 수행하는 데 사용된다. 특히 본 실시예의 설비는 기판에 대해 도포 공정, 현상 공정, 그리고 액침 노광 전후에 요구되는 노광 전후 처리 공정을 수행하는 데 사용된다. 아래에서는 기판으로 웨이퍼가 사용된 경우를 예로 들어 설명한다.The equipment of this embodiment is used to perform a photolithography process on a substrate such as a semiconductor wafer or a flat panel display panel. In particular, the equipment of this embodiment is used to perform a coating process, a developing process, and a pre-exposure treatment process required before and after the liquid immersion exposure to the substrate. Hereinafter, a case where a wafer is used as a substrate will be described.

도 1 내지 도 4는 본 발명의 일 실시예에 따른 기판 처리 설비(1)를 개략적으로 보여주는 도면이다. 도 1은 기판 처리 설비(1)를 상부에서 바라본 도면이고, 도 2는 도 1의 설비(1)를 A-A 방향에서 바라본 도면이고, 도 3은 도 1의 설비(1)를 B-B 방향에서 바라본 도면이고, 도 4는 도 1의 설비(1)를 C-C 방향에서 바라본 도면이다. 기판 처리 설비(1)는 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)을 포함한다. 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)은 순차적으로 일 방향으로 일렬로 배치된다. 이하, 로드 포트(100), 인덱스 모듈(200), 제 1 버퍼 모듈(300), 도포 및 현상 모듈(400), 제 2 버퍼 모듈(500), 노광 전후 처리 모듈(600), 그리고 인터페이스 모듈(700)이 배치된 방향을 제 1 방향(12)이라 칭하고, 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 칭하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 칭한다. 1 to 4 schematically show a substrate processing apparatus 1 according to an embodiment of the present invention. 1 is a view of the substrate processing equipment 1 from above, FIG. 2 is a view of the equipment 1 of FIG. 1 viewed from the AA direction, and FIG. 3 is a view of the equipment 1 of FIG. 1 viewed from the BB direction. 4 is the figure which looked at the installation 1 of FIG. 1 from the CC direction. The substrate processing facility 1 includes a load port 100, an index module 200, a first buffer module 300, an application and development module 400, a second buffer module 500, and a pre- and post-exposure processing module 600. And an interface module 700. Load port 100, index module 200, first buffer module 300, coating and developing module 400, second buffer module 500, pre-exposure processing module 600, and interface module 700 Are sequentially arranged in one direction. Hereinafter, the load port 100, the index module 200, the first buffer module 300, the coating and developing module 400, the second buffer module 500, the pre-exposure processing module 600, and the interface module ( The direction in which the 700 is disposed is called a first direction 12, and when viewed from the top, a direction perpendicular to the first direction 12 is called a second direction 14, and the first direction 12 and the second direction. A direction perpendicular to the direction 14 is referred to as a third direction 16.

웨이퍼(W)는 용기(20) 내에 수납된 상태로 이동된다. 이때 용기(20)는 외부로부터 밀폐될 수 있는 구조를 가진다. 예컨대, 용기(20)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다. 이하 도 1 내지 도 4를 참조하여, 각각의 구성에 대해서 상세히 설명한다.The wafer W is moved in the state accommodated in the container 20. At this time, the container 20 has a structure that can be sealed from the outside. For example, as the container 20, a front open unified pod (FOUP) having a door in front may be used. Hereinafter, each configuration will be described in detail with reference to FIGS. 1 to 4.

(로드 포트)(Load port)

로드 포트(100)는 웨이퍼들(W)이 수납된 용기(20)가 놓여지는 재치대(120)를 가진다. 재치대(120)는 복수개가 제공되며, 재치대들(200)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 1에서는 4개의 재치대(120)가 제공되었다. The load port 100 has a mounting table 120 on which a container 20 containing wafers W is placed. The mounting table 120 is provided in plural, and the mounting tables 200 are arranged in a line along the second direction 14. In FIG. 1 four mounting blocks 120 are provided.

(인덱스 모듈)(Index module)

인덱스 모듈(200)은 로드 포트(100)의 재치대(120)에 놓인 용기(20)와 제 1 버퍼 모듈(300) 간에 웨이퍼(W)를 이송한다. 인덱스 모듈(200)은 프레임(210), 인덱스 로봇(220), 그리고 가이드 레일(230)을 가진다. 프레임(210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(100)와 제 1 버퍼 모듈(300) 사이에 배치된다. 인덱스 모듈(200)의 프레임(210)은 후술하는 제 1 버퍼 모듈(300)의 프레임(310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(220)과 가이드 레일(230)은 프레임(210) 내에 배치된다. 인덱스 로봇(220)은 웨이퍼(W)를 직접 핸들링하는 핸드(221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고 회 전될 수 있도록 4축 구동이 가능한 구조를 가진다. 인덱스 로봇(220)은 핸드(221), 아암(222), 지지대(223), 그리고 받침대(224)를 가진다. 핸드(221)는 아암(222)에 고정 설치된다. 아암(222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(223)는 그 길이 방향이 제 3 방향(16)을 따라 배치된다. 아암(222)은 지지대(223)를 따라 이동 가능하도록 지지대(223)에 결합된다. 지지대(223)는 받침대(224)에 고정결합된다. 가이드 레일(230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(224)는 가이드 레일(230)을 따라 직선 이동 가능하도록 가이드 레일(230)에 결합된다. 또한, 도시되지는 않았지만, 프레임(210)에는 용기(20)의 도어를 개폐하는 도어 오프너가 더 제공된다.The index module 200 transfers the wafer W between the container 20 placed on the mounting table 120 of the load port 100 and the first buffer module 300. The index module 200 has a frame 210, an index robot 220, and a guide rail 230. The frame 210 is generally provided in the shape of an empty rectangular parallelepiped, and is disposed between the load port 100 and the first buffer module 300. The frame 210 of the index module 200 may be provided at a height lower than that of the frame 310 of the first buffer module 300 described later. The index robot 220 and the guide rail 230 are disposed in the frame 210. The index robot 220 is a four-axis drive so that the hand 221, which directly handles the wafer W, is movable and rotated in the first direction 12, the second direction 14, and the third direction 16. This has a possible structure. Index robot 220 has a hand 221, an arm 222, a support 223, and a pedestal 224. The hand 221 is fixed to the arm 222. Arm 222 is provided in a stretchable and rotatable structure. The support 223 is disposed in the longitudinal direction along the third direction 16. Arm 222 is coupled to support 223 to be movable along support 223. The support 223 is fixedly coupled to the pedestal 224. The guide rail 230 is provided such that its longitudinal direction is disposed along the second direction 14. The pedestal 224 is coupled to the guide rail 230 to be linearly movable along the guide rail 230. In addition, although not shown, the frame 210 is further provided with a door opener for opening and closing the door of the container 20.

(제 1 버퍼 모듈)(First buffer module)

제 1 버퍼 모듈(300)은 프레임(310), 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)을 가진다. 프레임(310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(200)과 도포 및 현상 모듈(400) 사이에 배치된다. 제 1 버퍼(320), 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼 로봇(360)은 프레임(310) 내에 위치된다. 냉각 챔버(350), 제 2 버퍼(330), 그리고 제 1 버퍼(320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 버퍼(320)는 후술하는 도포 및 현상 모듈(400)의 도포 모듈(401)과 대응되는 높이에 위치되고, 제 2 버퍼(330)와 냉각 챔버(350)는 후술하는 도포 및 현상 모듈(400)의 현상 모듈(402)과 대응되는 높이에 위치된다. 제 1 버퍼 로봇(360)은 제 2 버퍼(330), 냉각 챔버(350), 그리고 제 1 버퍼(320)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다. The first buffer module 300 has a frame 310, a first buffer 320, a second buffer 330, a cooling chamber 350, and a first buffer robot 360. The frame 310 is provided in the shape of an empty rectangular parallelepiped, and is disposed between the index module 200 and the application and development module 400. The first buffer 320, the second buffer 330, the cooling chamber 350, and the first buffer robot 360 are located in the frame 310. The cooling chamber 350, the second buffer 330, and the first buffer 320 are sequentially disposed along the third direction 16 from below. The first buffer 320 is located at a height corresponding to the coating module 401 of the coating and developing module 400 described later, and the second buffer 330 and the cooling chamber 350 are the coating and developing modules (described later). It is located at a height corresponding to the developing module 402 of 400. The first buffer robot 360 is positioned to be spaced apart from the second buffer 330, the cooling chamber 350, and the first buffer 320 in a second direction 14.

제 1 버퍼(320)와 제 2 버퍼(330)는 각각 복수의 웨이퍼들(W)을 일시적으로 보관한다. 제 2 버퍼(330)는 하우징(331)과 복수의 지지대들(332)을 가진다. 지지대들(332)은 하우징(331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(332)에는 하나의 웨이퍼(W)가 놓인다. 하우징(331)은 인덱스 로봇(220), 제 1 버퍼 로봇(360), 그리고 후술하는 현상 모듈(402)의 현상부 로봇(482)이 하우징(331) 내 지지대(332)에 웨이퍼(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향, 제 1 버퍼 로봇(360)이 제공된 방향, 그리고 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(320)는 제 2 버퍼(330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(320)의 하우징(321)에는 제 1 버퍼 로봇(360)이 제공된 방향 및 후술하는 도포 모듈(401)에 위치된 도포부 로봇(432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(320)에 제공된 지지대(322)의 수와 제 2 버퍼(330)에 제공된 지지대(332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(330)에 제공된 지지대(332)의 수는 제 1 버퍼(320)에 제공된 지지대(322)의 수보다 많을 수 있다. The first buffer 320 and the second buffer 330 temporarily store the plurality of wafers W, respectively. The second buffer 330 has a housing 331 and a plurality of supports 332. The supports 332 are disposed in the housing 331 and are spaced apart from each other along the third direction 16. One support W is placed on each support 332. In the housing 331, the index robot 220, the first buffer robot 360, and the developing unit robot 482 of the developing module 402 described later move the wafer W to the support 332 in the housing 331. It has openings (not shown) in the direction in which the index robot 220 is provided, the direction in which the first buffer robot 360 is provided, and the direction in which the developing unit robot 482 is provided so as to be able to carry in or take out. The first buffer 320 has a structure generally similar to that of the second buffer 330. However, the housing 321 of the first buffer 320 has an opening in the direction in which the first buffer robot 360 is provided and in the direction in which the applicator robot 432 located in the application module 401 described later is provided. The number of supports 322 provided in the first buffer 320 and the number of supports 332 provided in the second buffer 330 may be the same or different. According to an example, the number of supports 332 provided in the second buffer 330 may be greater than the number of supports 322 provided in the first buffer 320.

제 1 버퍼 로봇(360)은 제 1 버퍼(320)와 제 2 버퍼(330) 간에 웨이퍼(W)를 이송시킨다. 제 1 버퍼 로봇(360)은 핸드(361), 아암(362), 그리고 지지대(363)를 가진다. 핸드(361)는 아암(362)에 고정 설치된다. 아암(362)은 신축 가능한 구조로 제공되어, 핸드(361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(362)은 지지대(363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(363)에 결합된다. 지지대(363)는 제 2 버퍼(330)에 대응되는 위치부터 제 1 버퍼(320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(363)는 이보다 위 또는 아래 방향으로 더 길게 제공될 수 있다. 제 1 버퍼 로봇(360)은 단순히 핸드(361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The first buffer robot 360 transfers the wafer W between the first buffer 320 and the second buffer 330. The first buffer robot 360 has a hand 361, an arm 362, and a support 363. The hand 361 is fixed to the arm 362. The arm 362 is provided in a stretchable structure, allowing the hand 361 to move along the second direction 14. Arm 362 is coupled to support 363 so as to be linearly movable in a third direction 16 along support 363. The support 363 has a length extending from a position corresponding to the second buffer 330 to a position corresponding to the first buffer 320. The support 363 may be provided longer in the up or down direction. The first buffer robot 360 may simply be provided such that the hand 361 is only biaxially driven along the second direction 14 and the third direction 16.

냉각 챔버(350)는 각각 웨이퍼(W)를 냉각한다. 냉각 챔버(350)는 하우징(351)과 냉각 플레이트(352)를 가진다. 냉각 플레이트(352)는 웨이퍼(W)가 놓이는 상면 및 웨이퍼(W)를 냉각하는 냉각 수단(353)을 가진다. 냉각 수단(353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(350)에는 웨이퍼(W)를 냉각 플레이트(352) 상에 위치시키는 리프트 핀 어셈블리(도시되지 않음)가 제공될 수 있다. 하우징(351)은 인덱스 로봇(220) 및 후술하는 현상 모듈(402)에 제공된 현상부 로봇(482)이 냉각 플레이트(352)에 웨이퍼(W)를 반입 또는 반출할 수 있도록 인덱스 로봇(220)이 제공된 방향 및 현상부 로봇(482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 또한, 냉각 챔버(350)에는 상술한 개구를 개폐하는 도어들(도시되지 않음)이 제공될 수 있다. The cooling chambers 350 cool the wafers W, respectively. The cooling chamber 350 has a housing 351 and a cooling plate 352. The cooling plate 352 has an upper surface on which the wafer W is placed and cooling means 353 for cooling the wafer W. As shown in FIG. As the cooling means 353, various methods such as cooling by cooling water or cooling using a thermoelectric element may be used. In addition, the cooling chamber 350 may be provided with a lift pin assembly (not shown) that positions the wafer W on the cooling plate 352. The housing 351 has an index robot 220 so that the developing robot 482 provided to the index robot 220 and the developing module 402 described later can load or unload the wafer W to the cooling plate 352. The provided direction and developing part robot 482 has an opening (not shown) in the provided direction. In addition, the cooling chamber 350 may be provided with doors (not shown) for opening and closing the above-described opening.

(도포 및 현상 모듈)Application and development module

도포 및 현상 모듈(400)은 노광 공정 전에 웨이퍼(W) 상에 포토 레지스트를 도포하는 공정 및 노광 공정 후에 웨이퍼(W)를 현상하는 공정을 수행한다. 도포 및 현상 모듈(400)은 대체로 직육면체의 형상을 가진다. 도포 및 현상 모듈(400)은 도포 모듈(401)과 현상 모듈(402)을 가진다. 도포 모듈(401)과 현상 모듈(402)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 도포 모듈(401)은 현상 모듈(402)의 상부에 위치된다.The coating and developing module 400 performs a process of applying a photoresist on the wafer W before the exposure process and a process of developing the wafer W after the exposure process. The application and development module 400 has a generally rectangular parallelepiped shape. The application and development module 400 has an application module 401 and a development module 402. The application module 401 and the developing module 402 are arranged to partition into each other in layers. In one example, the application module 401 is located on top of the development module 402.

도포 모듈(401)은 웨이퍼(W)에 대해 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 웨이퍼(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. 도포 모듈(401)은 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)를 가진다. 레지스트 도포 챔버(410), 베이크 챔버(420), 그리고 반송 챔버(430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 레지스트 도포 챔버(410)와 베이크 챔버(420)는 반송 챔버(430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 레지스트 도포 챔버(410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 레지스트 도포 챔버(410)가 제공된 예가 도시되었다. 베이크 챔버(420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(420)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(420)는 더 많은 수로 제공될 수 있다.The application module 401 includes a process of applying a photoresist such as a photoresist to the wafer W, and a heat treatment process such as heating and cooling of the wafer W before and after the resist application process. The application module 401 has a resist application chamber 410, a bake chamber 420, and a transfer chamber 430. The resist application chamber 410, the bake chamber 420, and the transfer chamber 430 are sequentially disposed along the second direction 14. Accordingly, the resist application chamber 410 and the bake chamber 420 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 430 interposed therebetween. A plurality of resist coating chambers 410 are provided, and a plurality of resist coating chambers 410 are provided in the first direction 12 and the third direction 16, respectively. In the figure, an example in which six resist application chambers 410 are provided is shown. A plurality of baking chambers 420 may be provided in the first direction 12 and the third direction 16, respectively. In the figure, an example in which six bake chambers 420 are provided is shown. Alternatively, however, the bake chamber 420 may be provided in larger numbers.

반송 챔버(430)는 제 1 버퍼 모듈(300)의 제 1 버퍼(320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(430) 내에는 도포부 로봇(432)과 가이드 레일(433)이 위치된다. 반송 챔버(430)는 대체로 직사각의 형상을 가진다. 도포부 로봇(432)은 베이크 챔버들(420), 레지스트 도포 챔버들(400), 제 1 버퍼 모듈(300) 의 제 1 버퍼(320), 그리고 후술하는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(520) 간에 웨이퍼(W)를 이송한다. 가이드 레일(433)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(433)은 도포부 로봇(432)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 도포부 로봇(432)은 핸드(434), 아암(435), 지지대(436), 그리고 받침대(437)를 가진다. 핸드(434)는 아암(435)에 고정 설치된다. 아암(435)은 신축 가능한 구조로 제공되어 핸드(434)가 수평 방향으로 이동 가능하도록 한다. 지지대(436)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(435)은 지지대(436)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(436)에 결합된다. 지지대(436)는 받침대(437)에 고정 결합되고, 받침대(437)는 가이드 레일(433)을 따라 이동 가능하도록 가이드 레일(433)에 결합된다.The transfer chamber 430 is positioned side by side in the first direction 12 with the first buffer 320 of the first buffer module 300. An applicator robot 432 and a guide rail 433 are positioned in the transfer chamber 430. The transfer chamber 430 has a generally rectangular shape. The applicator robot 432 includes the baking chambers 420, the resist application chambers 400, the first buffer 320 of the first buffer module 300, and the first of the second buffer module 500 described later. The wafer W is transferred between the cooling chambers 520. The guide rail 433 is disposed such that its longitudinal direction is parallel to the first direction 12. The guide rail 433 guides the applicator robot 432 to move linearly in the first direction 12. The applicator robot 432 has a hand 434, an arm 435, a support 436, and a pedestal 437. The hand 434 is fixed to the arm 435. Arm 435 is provided in a flexible structure to allow hand 434 to move in the horizontal direction. The support 436 is provided such that its longitudinal direction is disposed along the third direction 16. Arm 435 is coupled to support 436 so as to be linearly movable in third direction 16 along support 436. The support 436 is fixedly coupled to the pedestal 437, and the pedestal 437 is coupled to the guide rail 433 so as to be movable along the guide rail 433.

레지스트 도포 챔버들(410)은 모두 동일한 구조를 가진다. 다만, 각각의 레지스트 도포 챔버(410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다. 레지스트 도포 챔버(410)는 웨이퍼(W) 상에 포토 레지스트를 도포한다. 레지스트 도포 챔버(410)는 하우징(411), 지지 플레이트(412), 그리고 노즐(413)을 가진다. 하우징(411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(412)는 하우징(411) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(412)는 회전 가능하게 제공된다. 노즐(413)은 지지 플레이트(412)에 놓인 웨이퍼(W) 상으로 포토 레지스트를 공급한다. 노즐(413)은 원형의 관 형상을 가지고, 웨이퍼(W)의 중심으로 포토 레지스트를 공급할 수 있다. 선택적으로 노즐(413)은 웨이퍼(W)의 직경에 상응하는 길이를 가지고, 노즐(413)의 토출구는 슬릿으로 제공될 수 있다. 또한, 추가적으로 레지스트 도포 챔버(410)에는 포토 레지스트가 도포된 웨이퍼(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(414)이 더 제공될 수 있다. The resist application chambers 410 all have the same structure. However, the types of photoresist used in each resist coating chamber 410 may be different from each other. As an example, a chemical amplification resist may be used as the photoresist. The resist coating chamber 410 applies a photo resist on the wafer W. As shown in FIG. The resist application chamber 410 has a housing 411, a support plate 412, and a nozzle 413. The housing 411 has a cup shape with an open top. The support plate 412 is located in the housing 411 and supports the wafer W. The support plate 412 is provided to be rotatable. The nozzle 413 supplies the photoresist onto the wafer W placed on the support plate 412. The nozzle 413 has a circular tubular shape, and can supply the photoresist to the center of the wafer (W). Optionally, the nozzle 413 has a length corresponding to the diameter of the wafer W, and the discharge port of the nozzle 413 may be provided as a slit. In addition, the resist coating chamber 410 may further be provided with a nozzle 414 for supplying a cleaning liquid such as deionized water to clean the surface of the wafer W on which the photoresist is applied.

베이크 챔버(420)는 웨이퍼(W)를 열처리한다. 예컨대, 베이크 챔버들(420)은 포토 레지스트를 도포하기 전에 웨이퍼(W)를 소정의 온도로 가열하여 웨이퍼(W) 표면의 유기물이나 수분을 제거하는 프리 베이크(prebake) 공정이나 포토레지스트를 웨이퍼(W) 상에 도포한 후에 행하는 소프트 베이크(soft bake) 공정 등을 수행하고, 각각의 가열 공정 이후에 웨이퍼(W)를 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(420)는 냉각 플레이트(421) 또는 가열 플레이트(422)를 가진다. 냉각 플레이트(421)에는 냉각수 또는 열전 소자와 같은 냉각 수단(423)이 제공된다. 또한 가열 플레이트(422)에는 열선 또는 열전 소자와 같은 가열 수단(424)이 제공된다. 냉각 플레이트(421)와 가열 플레이트(422)는 하나의 베이크 챔버(420) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(420)들 중 일부는 냉각 플레이트(421)만을 구비하고, 다른 일부는 가열 플레이트(422)만을 구비할 수 있다. The bake chamber 420 heat-treats the wafer (W). For example, the bake chambers 420 may be a prebake process or a photoresist that heats the wafer W to a predetermined temperature and removes organic matter or moisture from the surface of the wafer W before applying the photoresist. A soft bake process or the like performed after coating on W) is performed, and a cooling process for cooling the wafer W after each heating process is performed. The bake chamber 420 has a cooling plate 421 or a heating plate 422. The cooling plate 421 is provided with cooling means 423 such as cooling water or thermoelectric elements. The heating plate 422 is also provided with heating means 424 such as hot wires or thermoelectric elements. The cooling plate 421 and the heating plate 422 may be provided in one bake chamber 420, respectively. Optionally, some of the baking chambers 420 may have only a cooling plate 421 and others may have only a heating plate 422.

현상 모듈(402)은 웨이퍼(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 공정 전후에 웨이퍼(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다. 현상모듈(5402)은 현상 챔버(460), 베이크 챔버(470), 그리고 반송 챔버(480)를 가진다. 현상 챔버(460), 베 이크 챔버(470), 그리고 반송 챔버(480)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 현상 챔버(460)와 베이크 챔버(470)는 반송 챔버(480)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 현상 챔버(460)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 현상 챔버(460)가 제공된 예가 도시되었다. 베이크 챔버(470)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(470)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(470)는 더 많은 수로 제공될 수 있다.The developing module 402 is a developing process of removing a part of the photoresist by supplying a developing solution to obtain a pattern on the wafer W, and a heat treatment process such as heating and cooling performed on the wafer W before and after the developing process. It includes. The developing module 5402 has a developing chamber 460, a baking chamber 470, and a conveying chamber 480. The developing chamber 460, the bake chamber 470, and the transfer chamber 480 are sequentially disposed along the second direction 14. Therefore, the developing chamber 460 and the baking chamber 470 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 480 therebetween. A plurality of developing chambers 460 may be provided, and a plurality of developing chambers 460 may be provided in the first direction 12 and the third direction 16, respectively. In the figure, an example in which six developing chambers 460 are provided is shown. A plurality of baking chambers 470 may be provided in the first direction 12 and the third direction 16, respectively. In the figure, an example in which six bake chambers 470 are provided is shown. However, the baking chamber 470 may alternatively be provided in larger numbers.

반송 챔버(480)는 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(480) 내에는 현상부 로봇(482)과 가이드 레일(483)이 위치된다. 반송 챔버(480)는 대체로 직사각의 형상을 가진다. 현상부 로봇(482)은 베이크 챔버들(470), 현상 챔버들(460), 제 1 버퍼 모듈(300)의 제 2 버퍼(330)와 냉각 챔버(350), 그리고 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540) 간에 웨이퍼(W)를 이송한다. 가이드 레일(483)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(483)은 현상부 로봇(482)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 현상부 로봇(482)은 핸드(484), 아암(485), 지지대(486), 그리고 받침대(487)를 가진다. 핸드(484)는 아암(485)에 고정 설치된다. 아암(485)은 신축 가능한 구조로 제공되어 핸드(484)가 수평 방향으로 이동 가능하도록 한다. 지지대(486)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(485)은 지지대(486)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지 대(486)에 결합된다. 지지대(486)는 받침대(487)에 고정 결합된다. 받침대(487)는 가이드 레일(483)을 따라 이동 가능하도록 가이드 레일(483)에 결합된다.The transfer chamber 480 is positioned side by side in the first direction 12 with the second buffer 330 of the first buffer module 300. The developer robot 482 and the guide rail 483 are positioned in the transfer chamber 480. The transfer chamber 480 has a generally rectangular shape. The developing unit robot 482 includes the bake chambers 470, the developing chambers 460, the second buffer 330 and the cooling chamber 350 of the first buffer module 300, and the second buffer module 500. The wafers W are transferred between the second cooling chambers 540. The guide rail 483 is disposed so that its longitudinal direction is parallel to the first direction 12. The guide rail 483 guides the developing unit robot 482 to linearly move in the first direction 12. The developing unit robot 482 has a hand 484, an arm 485, a support 486, and a base 487. The hand 484 is fixedly mounted to the arm 485. Arm 485 is provided in a flexible structure to allow hand 484 to move in the horizontal direction. The support 486 is provided such that its longitudinal direction is disposed along the third direction 16. Arm 485 is coupled to support 486 such that it is linearly movable in third direction 16 along support 486. The support 486 is fixedly coupled to the pedestal 487. The pedestal 487 is coupled to the guide rail 483 so as to be movable along the guide rail 483.

현상 챔버들(460)은 모두 동일한 구조를 가진다. 다만, 각각의 현상 챔버(460)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상 챔버(460)는 웨이퍼(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다. The developing chambers 460 all have the same structure. However, the types of the developer used in each of the developing chambers 460 may be different from each other. The developing chamber 460 removes the light irradiated region of the photoresist on the wafer W. At this time, the area irradiated with light in the protective film is also removed. Depending on the kind of photoresist that is optionally used, only the regions of the photoresist and the protective film to which light is not irradiated may be removed.

현상 챔버(460)는 하우징(461), 지지 플레이트(462), 그리고 노즐(463)을 가진다. 하우징(461)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(462)는 하우징(461) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(462)는 회전 가능하게 제공된다. 노즐(463)은 지지 플레이트(462)에 놓인 웨이퍼(W) 상으로 현상액을 공급한다. 노즐(463)은 원형의 관 형상을 가지고, 웨이퍼(W)의 중심으로 현상액 공급할 수 있다. 선택적으로 노즐(463)은 웨이퍼(W)의 직경에 상응하는 길이를 가지고, 노즐(463)의 토출구는 슬릿으로 제공될 수 있다. 또한, 현상 챔버(460)에는 추가적으로 현상액이 공급된 웨이퍼(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(464)이 더 제공될 수 있다. The developing chamber 460 has a housing 461, a support plate 462, and a nozzle 463. The housing 461 has a cup shape with an open top. The support plate 462 is located in the housing 461 and supports the wafer (W). The support plate 462 is rotatably provided. The nozzle 463 supplies the developer onto the wafer W placed on the support plate 462. The nozzle 463 has a circular tubular shape and can supply the developer to the center of the wafer W. As shown in FIG. Optionally, the nozzle 463 has a length corresponding to the diameter of the wafer W, and the discharge port of the nozzle 463 may be provided as a slit. In addition, the developing chamber 460 may further be provided with a nozzle 464 for supplying a cleaning solution such as deionized water to clean the surface of the wafer W to which the developing solution is supplied.

베이크 챔버(470)는 웨이퍼(W)를 열처리한다. 예컨대, 베이크 챔버들(470)은 현상 공정이 수행되기 전에 웨이퍼(W)를 가열하는 포스트 베이크 공정 및 현상 공정이 수행된 후에 웨이퍼(W)를 가열하는 하드 베이크 공정 및 각각의 베이크 공정 이후에 가열된 기판을 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(470)는 냉각 플레이트(471) 또는 가열 플레이트(472)를 가진다. 냉각 플레이트(471)에는 냉각수 또는 열전 소자와 같은 냉각 수단(473)이 제공된다. 또는 가열 플레이트(472)에는 열선 또는 열전 소자와 같은 가열 수단(474)이 제공된다. 냉각 플레이트(471)와 가열 플레이트(472)는 하나의 베이크 챔버(470) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(470)들 중 일부는 냉각 플레이트(471)만을 구비하고, 다른 일부는 가열 플레이트(472)만을 구비할 수 있다. The bake chamber 470 heat-treats the wafer (W). For example, the bake chambers 470 are heated after each bake process and a hard bake process that heats the wafer W after the post-baking process that heats the wafer W before the developing process is performed, and after the developing process is performed. And a cooling process for cooling the finished substrate. The bake chamber 470 has a cooling plate 471 or a heating plate 472. The cooling plate 471 is provided with cooling means 473, such as cooling water or thermoelectric elements. Alternatively, the heating plate 472 is provided with heating means 474, such as a hot wire or a thermoelectric element. The cooling plate 471 and the heating plate 472 may each be provided in one bake chamber 470. Optionally, some of the baking chambers 470 may have only a cooling plate 471 and others may have only a heating plate 472.

상술한 바와 같이 도포 및 현상 모듈(400)에서 도포 모듈(401)과 현상 모듈(402)은 서로 간에 분리되도록 제공된다. 또한, 상부에서 바라볼 때 도포 모듈(401)과 현상 모듈(402)은 동일한 챔버 배치를 가질 수 있다. As described above, in the application and development module 400, the application module 401 and the development module 402 are provided to be separated from each other. In addition, the application module 401 and the developing module 402 may have the same chamber arrangement when viewed from the top.

(제 2 버퍼 모듈)(Second buffer module)

제 2 버퍼 모듈(500)은 도포 및 현상 모듈(400)과 노광 전후 처리 모듈(600) 사이에 웨이퍼(W)가 운반되는 통로로서 제공된다. 또한, 제 2 버퍼 모듈(500)은 웨이퍼(W)에 대해 냉각 공정이나 에지 노광 공정 등과 같은 소정의 공정을 수행한다. 제 2 버퍼 모듈(500)은 프레임(510), 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)을 가진다. 프레임(510)은 직육면체의 형상을 가진다. 버퍼(520), 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 에지 노광 챔버(550), 그리고 제 2 버퍼 로봇(560)은 프레임(510) 내에 위치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550)는 도포 모듈(401)에 대응하는 높이에 배치된다. 제 2 냉각 챔버(540)는 현상 모듈(402)에 대응하는 높이에 배치된다. 버퍼(520), 제 1 냉각 챔버(530), 그리고 제 2 냉각 챔버(540)는 순차적으로 제 3 방향(16)을 따라 일렬로 배치된다. 상부에서 바라볼 때 버퍼(520)은 도포 모듈(401)의 반송 챔버(430)와 제 1 방향(12)을 따라 배치된다. 에지 노광 챔버(550)는 버퍼(520) 또는 제 1 냉각 챔버(530)와 제 2 방향(14)으로 일정 거리 이격되게 배치된다. The second buffer module 500 is provided as a passage through which the wafer W is transported between the application and development module 400 and the pre-exposure processing module 600. In addition, the second buffer module 500 performs a predetermined process on the wafer W, such as a cooling process or an edge exposure process. The second buffer module 500 controls the frame 510, the buffer 520, the first cooling chamber 530, the second cooling chamber 540, the edge exposure chamber 550, and the second buffer robot 560. Have The frame 510 has a rectangular parallelepiped shape. The buffer 520, the first cooling chamber 530, the second cooling chamber 540, the edge exposure chamber 550, and the second buffer robot 560 are located in the frame 510. The buffer 520, the first cooling chamber 530, and the edge exposure chamber 550 are disposed at a height corresponding to the application module 401. The second cooling chamber 540 is disposed at a height corresponding to the developing module 402. The buffer 520, the first cooling chamber 530, and the second cooling chamber 540 are sequentially arranged along the third direction 16. As viewed from the top, the buffer 520 is disposed along the conveyance chamber 430 and the first direction 12 of the application module 401. The edge exposure chamber 550 is disposed spaced apart from the buffer 520 or the first cooling chamber 530 in a second distance 14.

제 2 버퍼 로봇(560)은 버퍼(520), 제 1 냉각 챔버(530), 그리고 에지 노광 챔버(550) 간에 웨이퍼(W)를 운반한다. 제 2 버퍼 로봇(560)은 에지 노광 챔버(550)와 버퍼(520) 사이에 위치된다. 제 2 버퍼 로봇(560)은 제 1 버퍼 로봇(360)과 유사한 구조로 제공될 수 있다. 제 1 냉각 챔버(530)와 에지 노광 챔버(550)는 도포 모듈(401)에서 공정이 수행된 웨이퍼들(W)에 대해 후속 공정을 수행한다. 제 1 냉각 챔버(530)는 도포 모듈(401)에서 공정이 수행된 웨이퍼(W)를 냉각한다. 제 1 냉각 챔버(530)는 제 1 버퍼 모듈(300)의 냉각 챔버(350)과 유사한 구조를 가진다. 에지 노광 챔버(550)는 제 1 냉각 챔버(530)에서 냉각 공정이 수행된 웨이퍼들(W)에 대해 그 가장자리를 노광한다. 버퍼(520)는 에지 노광 챔버(550)에서 공정이 수행된 웨이퍼(W)들이 후술하는 전처리 모듈(601)로 운반되기 전에 웨이퍼(W)를 일시적으로 보관한다. 제 2 냉각 챔버(540)는 후술하는 후처리 모듈(602)에서 공정이 수행된 웨이퍼들(W)이 현상 모듈(402)로 운반되기 전에 웨이퍼들(W)을 냉각한다. 제 2 버퍼 모듈(500)은 현상 모듈(402)와 대응되는 높이에 추가된 버퍼를 더 가질 수 있다. 이 경우, 후처리 모듈(602)에서 공정이 수행된 웨이퍼 들(W)은 추가된 버퍼에 일시적으로 보관된 후 현상 모듈(402)로 운반될 수 있다.The second buffer robot 560 transfers the wafer W between the buffer 520, the first cooling chamber 530, and the edge exposure chamber 550. The second buffer robot 560 is located between the edge exposure chamber 550 and the buffer 520. The second buffer robot 560 may be provided in a structure similar to that of the first buffer robot 360. The first cooling chamber 530 and the edge exposure chamber 550 perform subsequent processing on the wafers W on which the processing is performed in the coating module 401. The first cooling chamber 530 cools the wafer W on which the process is performed in the application module 401. The first cooling chamber 530 has a structure similar to the cooling chamber 350 of the first buffer module 300. The edge exposure chamber 550 exposes an edge of the wafers W on which the cooling process is performed in the first cooling chamber 530. The buffer 520 temporarily stores the wafer W before the wafers W having been processed in the edge exposure chamber 550 are transferred to the pretreatment module 601 described later. The second cooling chamber 540 cools the wafers W before the wafers W having been processed in the post-processing module 602 described later are transferred to the developing module 402. The second buffer module 500 may further have a buffer added to a height corresponding to the developing module 402. In this case, the wafers W processed in the post-processing module 602 may be temporarily stored in the added buffer and then transferred to the developing module 402.

(노광 전후 처리 모듈)(Before and After Exposure Processing Module)

노광 전후 처리 모듈(600)은 레지스트 도포 공정과 노광 공정 사이, 그리고 노광 공정과 현상 공정 사이에 요구되는 공정을 처리한다. 예컨대, 노광 장치(900)가 액침 노광 공정을 수행하는 경우, 노광 전후 처리 모듈(600)은 액침 노광시에 웨이퍼(W)에 도포된 포토레지스트 막을 보호하는 보호막을 도포하는 공정을 처리할 수 있다. 또한, 노광 전후 처리 모듈(600)은 노광 이후에 웨이퍼(W)를 세정하는 공정을 수행할 수 있다. 또한, 화학증폭형 레지스트를 사용하여 도포 공정이 수행된 경우, 노광 전후 처리 모듈(600)은 노광 후 베이크 공정을 처리할 수 있다. The pre- and post-exposure processing module 600 processes processes required between the resist coating process and the exposure process and between the exposure process and the developing process. For example, when the exposure apparatus 900 performs a liquid immersion exposure process, the pre and post-exposure processing module 600 may process a process of applying a protective film that protects the photoresist film applied to the wafer W during the liquid immersion exposure. . In addition, the pre and post-exposure processing module 600 may perform a process of cleaning the wafer W after the exposure. In addition, when the coating process is performed using the chemically amplified resist, the pre-exposure treatment module 600 may process the post-exposure bake process.

노광 전후 처리 모듈(600)은 전처리 모듈(601)과 후처리 모듈(602)을 가진다. 전처리 모듈(601)은 노광 공정 수행 전에 웨이퍼(W)를 처리하는 공정을 수행하고, 후처리 모듈(602)은 노광 공정 이후에 웨이퍼(W)를 처리하는 공정을 수행한다. 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의하면, 전처리 모듈(601)은 후처리 모듈(602)의 상부에 위치된다. 전처리 모듈(601)은 도포 모듈(401)과 동일한 높이로 제공된다. 후처리 모듈(602)은 현상 모듈(402)과 동일한 높이로 제공된다. 전처리 모듈(601)은 보호막 도포 챔버(610), 베이크 챔버(620), 그리고 반송 챔버(630)를 가진다. 보호막 도포 챔버(610), 반송 챔버(630), 그리고 베이크 챔버(620)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 보호막 도포 챔버(610)와 베이크 챔버(620)는 반송 챔버(630)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 보호막 도포 챔버(610)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 보호막 도포 챔버(610)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 베이크 챔버(620)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 베이크 챔버(620)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The pre- and post-exposure processing module 600 includes a pretreatment module 601 and a post-processing module 602. The pretreatment module 601 performs a process of processing the wafer W before performing the exposure process, and the post-processing module 602 performs a process of processing the wafer W after the exposure process. The pretreatment module 601 and the aftertreatment module 602 are arranged to partition into one another. In one example, the pretreatment module 601 is located on top of the aftertreatment module 602. The pretreatment module 601 is provided at the same height as the application module 401. The post-processing module 602 is provided at the same height as the developing module 402. The pretreatment module 601 has a protective film applying chamber 610, a baking chamber 620, and a transfer chamber 630. The protective film applying chamber 610, the transfer chamber 630, and the bake chamber 620 are sequentially disposed along the second direction 14. Therefore, the protective film applying chamber 610 and the baking chamber 620 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 630 interposed therebetween. A plurality of protective film applying chambers 610 may be provided and disposed along the third direction 16 to layer each other. Optionally, a plurality of protective film applying chambers 610 may be provided in the first direction 12 and the third direction 16, respectively. A plurality of baking chambers 620 may be provided and disposed along the third direction 16 to layer each other. Optionally, a plurality of baking chambers 620 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(630)는 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(630) 내에는 전처리 로봇(632)이 위치된다. 반송 챔버(630)는 대체로 정사각 또는 직사각의 형상을 가진다. 전처리 로봇(632)은 보호막 도포 챔버들(610), 베이크 챔버들(620), 제 2 버퍼 모듈(500)의 버퍼(520), 그리고 후술하는 인터페이스 모듈(700)의 제 1 버퍼(720) 간에 웨이퍼(W)를 이송한다. 전처리 로봇(632)은 핸드(633), 아암(634), 그리고 지지대(635)를 가진다. 핸드(633)는 아암(634)에 고정 설치된다. 아암(634)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 아암(634)은 지지대(635)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(635)에 결합된다. The transfer chamber 630 is positioned side by side in the first direction 12 with the first cooling chamber 530 of the second buffer module 500. The pretreatment robot 632 is located in the transfer chamber 630. The transfer chamber 630 has a generally square or rectangular shape. The pretreatment robot 632 is provided between the protective film applying chambers 610, the bake chambers 620, the buffer 520 of the second buffer module 500, and the first buffer 720 of the interface module 700 described later. The wafer W is transferred. The preprocessing robot 632 has a hand 633, an arm 634, and a support 635. The hand 633 is fixed to the arm 634. Arm 634 is provided in a stretchable and rotatable structure. Arm 634 is coupled to support 635 to be linearly movable in a third direction 16 along support 635.

보호막 도포 챔버(610)는 액침 노광 시에 레지스트 막을 보호하는 보호막을 웨이퍼(W) 상에 도포한다. 보호막 도포 챔버(610)는 하우징(611), 지지 플레이트(612), 그리고 노즐(613)을 가진다. 하우징(611)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(612)는 하우징(611) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(612)는 회전 가능하게 제공된다. 노즐(613)은 지지 플레이트(612)에 놓인 웨이퍼(W) 상으로 보호막 형성을 위한 보호액을 공급한다. 노즐(613)은 원형의 관 형상을 가지고, 웨이퍼(W)의 중심으로 보호액을 공급할 수 있다. 선택적으로 노즐(613)은 웨이퍼(W)의 직경에 상응하는 길이를 가지고, 노즐(613)의 토출구는 슬릿으로 제공될 수 있다. 이 경우, 지지 플레이트(612)는 고정된 상태로 제공될 수 있다. 보호액은 발포성 재료를 포함한다. 보호액은 포토 레지스터 및 물과의 친화력이 낮은 재료가 사용될 수 있다. 예컨대, 보호액은 불소계의 용제를 포함할 수 있다. 보호막 도포 챔버(610)는 지지 플레이트(612)에 놓인 웨이퍼(W)를 회전시키면서 웨이퍼(W)의 중심 영역으로 보호액을 공급한다. The protective film applying chamber 610 applies a protective film on the wafer W to protect the resist film during the liquid immersion exposure. The protective coating chamber 610 has a housing 611, a support plate 612, and a nozzle 613. The housing 611 has a cup shape with an open top. The support plate 612 is located in the housing 611 and supports the wafer (W). The support plate 612 is provided to be rotatable. The nozzle 613 supplies a protective liquid for forming a protective film onto the wafer W placed on the support plate 612. The nozzle 613 has a circular tubular shape and can supply a protection liquid to the center of the wafer W. As shown in FIG. Optionally, the nozzle 613 has a length corresponding to the diameter of the wafer W, and the discharge port of the nozzle 613 may be provided as a slit. In this case, the support plate 612 may be provided in a fixed state. The protective liquid includes a foamable material. As the protective liquid, a material having a low affinity with the photoresist and water may be used. For example, the protective liquid may contain a fluorine-based solvent. The protective film applying chamber 610 rotates the wafer W placed on the support plate 612 and supplies the protective liquid to the center area of the wafer W. FIG.

베이크 챔버(620)는 보호막이 도포된 웨이퍼(W)를 열처리한다. 베이크 챔버(620)는 냉각 플레이트(621) 또는 가열 플레이트(622)를 가진다. 냉각 플레이트(621)에는 냉각수 또는 열전 소자와 같은 냉각 수단(623)이 제공된다. 또는 가열 플레이트(622)에는 열선 또는 열전 소자와 같은 가열 수단(624)이 제공된다. 가열 플레이트(622)와 냉각 플레이트(621)는 하나의 베이크 챔버(620) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버들(620) 중 일부는 가열 플레이트(622) 만을 구비하고, 다른 일부는 냉각 플레이트(621) 만을 구비할 수 있다. The baking chamber 620 heat-treats the wafer W on which the protective film is applied. The bake chamber 620 has a cooling plate 621 or a heating plate 622. The cooling plate 621 is provided with cooling means 623, such as cooling water or thermoelectric elements. Alternatively, the heating plate 622 is provided with heating means 624 such as hot wire or thermoelectric element. The heating plate 622 and the cooling plate 621 may each be provided in one bake chamber 620. Optionally, some of the bake chambers 620 may have only a heating plate 622 and others may have only a cooling plate 621.

후처리 모듈(602)은 세정 챔버(660), 노광 후 베이크 챔버(670), 그리고 반송 챔버(680)를 가진다. 세정 챔버(660), 반송 챔버(680), 그리고 노광 후 베이크 챔버(670)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 세정 챔버(660)와 노광 후 베이크 챔버(670)는 반송 챔버(680)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 세정 챔버(660)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 세정 챔버(660)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 노광 후 베이크 챔버(670)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 노광 후 베이크 챔버(670)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The aftertreatment module 602 has a cleaning chamber 660, a post exposure bake chamber 670, and a transfer chamber 680. The cleaning chamber 660, the transfer chamber 680, and the post-exposure bake chamber 670 are sequentially disposed along the second direction 14. Accordingly, the cleaning chamber 660 and the post-exposure bake chamber 670 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 680 interposed therebetween. The cleaning chamber 660 may be provided in plural and may be disposed along the third direction 16 to layer each other. Optionally, a plurality of cleaning chambers 660 may be provided in the first direction 12 and the third direction 16, respectively. A plurality of bake chambers 670 may be provided after the exposure, and may be disposed along the third direction 16 to layer each other. Optionally, a plurality of post-exposure bake chambers 670 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(680)는 상부에서 바라볼 때 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(680)는 대체로 정사각 또는 직사각의 형상을 가진다. 반송 챔버(680) 내에는 후처리 로봇(682)이 위치된다. 후처리 로봇(682)은 세정 챔버들(660), 노광 후 베이크 챔버들(670), 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540), 그리고 후술하는 인터페이스 모듈(700)의 제 2 버퍼(730) 간에 웨이퍼(W)를 운반한다. 후처리 모듈(602)에 제공된 후처리 로봇(682)은 전처리 모듈(601)에 제공된 전처리 로봇(632)과 동일한 구조로 제공될 수 있다. The transfer chamber 680 is positioned side by side in the first direction 12 with the second cooling chamber 540 of the second buffer module 500 when viewed from the top. The transfer chamber 680 has a generally square or rectangular shape. The post-processing robot 682 is located in the transfer chamber 680. The post-processing robot 682 includes cleaning chambers 660, post-exposure bake chambers 670, a second cooling chamber 540 of the second buffer module 500, and a second of the interface module 700 described below. The wafer W is transported between the buffers 730. The post-processing robot 682 provided to the post-processing module 602 may be provided in the same structure as the pre-processing robot 632 provided to the pre-processing module 601.

세정 챔버(660)는 노광 공정 이후에 웨이퍼(W)를 세정한다. 세정 챔버(660)는 하우징(661), 지지 플레이트(662), 그리고 노즐(663)을 가진다. 하우징(661)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(662)는 하우징(661) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(662)는 회전 가능하게 제공된다. 노즐(663)은 지지 플레이트(662)에 놓인 웨이퍼(W) 상으로 세정액을 공급한다. 세정액으로는 탈이온수와 같은 물이 사용될 수 있다. 세정 챔버(660)는 지지 플레이트(662)에 놓인 웨이퍼(W)를 회전시키면서 웨이퍼(W)의 중심 영역으로 세정액을 공 급한다. 선택적으로 웨이퍼(W)가 회전되는 동안 노즐(663)은 웨이퍼(W)의 중심 영역에서 가장자리 영역까지 직선 이동 또는 회전 이동할 수 있다. The cleaning chamber 660 cleans the wafer W after the exposure process. The cleaning chamber 660 has a housing 661, a support plate 662, and a nozzle 663. The housing 661 has a cup shape with an open top. The support plate 662 is located in the housing 661 and supports the wafer W. As shown in FIG. The support plate 662 is provided to be rotatable. The nozzle 663 supplies the cleaning liquid onto the wafer W placed on the support plate 662. As the cleaning liquid, water such as deionized water may be used. The cleaning chamber 660 supplies the cleaning liquid to the center region of the wafer W while rotating the wafer W placed on the support plate 662. Optionally, while the wafer W is being rotated, the nozzle 663 can be moved linearly or rotationally from the center region of the wafer W to the edge region.

노광 후 베이크 챔버(670)는 원자외선을 이용하여 노광 공정이 수행된 웨이퍼(W)를 가열한다. 노광 후 베이크 공정은 웨이퍼(W)를 가열하여 노광에 의해 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화를 완성시킨다. 노광 후 베이크 챔버(670)는 가열 플레이트(672)를 가진다. 가열 플레이트(672)에는 열선 또는 열전 소자와 같은 가열 수단(674)이 제공된다. 노광 후 베이크 챔버(670)는 그 내부에 냉각 플레이트(671)를 더 구비할 수 있다. 냉각 플레이트(671)에는 냉각수 또는 열전 소자와 같은 냉각 수단(673)이 제공된다. 또한, 선택적으로 냉각 플레이트(671)만을 가진 베이크 챔버가 더 제공될 수 있다. The post-exposure bake chamber 670 heats the wafer W on which the exposure process is performed using far ultraviolet rays. The post-exposure bake process heats the wafer W to amplify an acid generated in the photoresist by exposure to complete the property change of the photoresist. The post-exposure bake chamber 670 has a heating plate 672. The heating plate 672 is provided with heating means 674, such as a hot wire or a thermoelectric element. The post-exposure bake chamber 670 may further include a cooling plate 671 therein. The cooling plate 671 is provided with cooling means 673, such as cooling water or thermoelectric elements. In addition, a bake chamber may optionally be provided with only the cooling plate 671.

상술한 바와 같이 노광 전후 처리 모듈(600)에서 전처리 모듈(601)과 후처리 모듈(602)은 서로 간에 완전히 분리되도록 제공된다. 또한, 전처리 모듈(601)의 반송 챔버(630)와 후처리 모듈(602)의 반송 챔버(680)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 보호막 도포 챔버(610)와 세정 챔버(660)는 서로 동일한 크기로 제공되어 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 베이크 챔버(620)와 노광 후 베이크 챔버(670)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다.As described above, the pretreatment module 601 and the post-treatment module 602 are provided to be completely separated from each other in the pre- and post-exposure processing module 600. In addition, the transfer chamber 630 of the pretreatment module 601 and the transfer chamber 680 of the post-treatment module 602 may be provided in the same size so as to completely overlap each other when viewed from the top. In addition, the protective film applying chamber 610 and the cleaning chamber 660 may be provided in the same size to each other when completely overlapping each other when viewed from the top. In addition, the bake chamber 620 and the post-exposure bake chamber 670 may be provided in the same size, so as to completely overlap each other when viewed from the top.

(인터페이스 모듈)(Interface module)

인터페이스 모듈(700)은 노광 전후 처리 모듈(600)과 노광 장치(900) 간에 웨이퍼(W)를 이송한다. 인터페이스 모듈(700)은 프레임(710), 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)를 가진다. 제 1 버퍼(720), 제 2 버퍼(730), 그리고 인터페이스 로봇(740)은 프레임(710) 내에 위치된다. 제 1 버퍼(720)와 제 2 버퍼(730)는 서로 간에 일정거리 이격되며, 서로 적층되도록 배치된다. 제 1 버퍼(720)는 제 2 버퍼(730)보다 높게 배치된다. 제 1 버퍼(720)는 전처리 모듈(601)과 대응되는 높이에 위치되고, 제 2 버퍼(730)는 후처리 모듈(602)에 대응되는 높이에 배치된다. 상부에서 바라볼 때 제 1 버퍼(720)는 전처리 모듈(601)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되고, 제 2 버퍼(730)는 후처리 모듈(602)의 반송 챔버(630)와 제 1 방향(12)을 따라 일렬로 배치되게 위치된다. The interface module 700 transfers the wafer W between the pre-exposure processing module 600 and the exposure apparatus 900. The interface module 700 has a frame 710, a first buffer 720, a second buffer 730, and an interface robot 740. The first buffer 720, the second buffer 730, and the interface robot 740 are located in the frame 710. The first buffer 720 and the second buffer 730 are spaced apart from each other by a predetermined distance and disposed to be stacked on each other. The first buffer 720 is disposed higher than the second buffer 730. The first buffer 720 is positioned at a height corresponding to the preprocessing module 601, and the second buffer 730 is disposed at a height corresponding to the postprocessing module 602. As viewed from the top, the first buffer 720 is arranged in a line along the conveyance chamber 630 and the first direction 12 of the pretreatment module 601, and the second buffer 730 is the post-processing module 602. Are positioned to be arranged in a line along the conveyance chamber 630 and the first direction 12.

인터페이스 로봇(740)은 제 1 버퍼(720) 및 제 2 버퍼(730)와 제 2 방향(14)으로 이격되게 위치된다. 인터페이스 로봇(740)은 제 1 버퍼(720), 제 2 버퍼(730), 그리고 노광 장치(900) 간에 웨이퍼(W)를 운반한다. 인터페이스 로봇(740)은 제 2 버퍼 로봇(560)과 대체로 유사한 구조를 가진다.The interface robot 740 is positioned to be spaced apart from the first buffer 720 and the second buffer 730 in the second direction 14. The interface robot 740 carries the wafer W between the first buffer 720, the second buffer 730, and the exposure apparatus 900. The interface robot 740 has a structure generally similar to that of the second buffer robot 560.

제 1 버퍼(720)는 전처리 모듈(601)에서 공정이 수행된 웨이퍼(W)들이 노광 장치(900)로 이동되기 전에 이들을 일시적으로 보관한다. 그리고 제 2 버퍼(730)는 노광 장치(900)에서 공정이 완료된 웨이퍼(W)들이 후처리 모듈(602)로 이동되기 전에 이들을 일시적으로 보관한다. 제 1 버퍼(720)는 하우징(721)과 복수의 지지대들(722)을 가진다. 지지대들(722)은 하우징(721) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(722)에는 하나의 웨이퍼(W)가 놓인다. 하우징(721)은 인터페이스 로봇(740) 및 전처리 로봇(632)이 하우징(721) 내로 지지대(722)에 웨이퍼(W)를 반입 또는 반출할 수 있도록 인터페이스 로봇(740)이 제공된 방향 및 전처리 로봇(632)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 2 버퍼(730)는 제 1 버퍼(720)와 대체로 유사한 구조를 가진다. 다만, 제 2 버퍼(730)의 하우징(4531)에는 인터페이스 로봇(740)이 제공된 방향 및 후처리 로봇(682)이 제공된 방향에 개구(도시되지 않음)를 가진다. 인터페이스 모듈에는 웨이퍼에 대해 소정의 공정을 수행하는 챔버의 제공 없이 상술한 바와 같이 버퍼들 및 로봇만 제공될 수 있다.The first buffer 720 temporarily stores the wafers W processed in the pretreatment module 601 before they are moved to the exposure apparatus 900. The second buffer 730 temporarily stores the wafers W processed in the exposure apparatus 900 before moving to the post-processing module 602. The first buffer 720 has a housing 721 and a plurality of supports 722. The supports 722 are disposed in the housing 721 and are provided spaced apart from each other along the third direction 16. One support W is placed on each support 722. The housing 721 is a direction and pretreatment robot provided with an interface robot 740 so that the interface robot 740 and the pretreatment robot 632 can carry or unload the wafer W into the support 722 into the housing 721. 632 has an opening (not shown) in the direction provided. The second buffer 730 has a structure generally similar to that of the first buffer 720. However, the housing 4531 of the second buffer 730 has openings (not shown) in the direction in which the interface robot 740 is provided and in the direction in which the post-processing robot 682 is provided. The interface module may be provided with only the buffers and the robot as described above without providing a chamber for performing a predetermined process on the wafer.

(공정 순서)(Process order)

다음에는 도 5a와 도 5b를 참조하여, 도 1의 기판 처리 설비(1)를 이용하여 공정을 수행하는 일 예를 설명한다. 도 5a와 도 5b는 기판 처리 설비(1)에서 웨이퍼(W)에 대해 공정이 수행되는 일 예를 보여주는 플로우 차트이다. Next, an example of performing a process using the substrate processing apparatus 1 of FIG. 1 will be described with reference to FIGS. 5A and 5B. 5A and 5B are flowcharts illustrating an example in which a process is performed on the wafer W in the substrate processing apparatus 1.

웨이퍼들(W)이 수납된 용기(20)는 로드 포트(100)의 재치대(120)에 놓인다(스텝 S112). 도어 오프너에 의해 용기(20)의 도어가 개방된다. 인덱스 로봇(220)은 용기(20)로부터 웨이퍼(W)를 꺼내어 제 2 버퍼(330)로 운반한다(스텝 S114). The container 20 in which the wafers W are stored is placed on the mounting table 120 of the load port 100 (step S112). The door of the container 20 is opened by the door opener. The index robot 220 takes out the wafer W from the container 20 and carries it to the second buffer 330 (step S114).

제 1 버퍼 로봇(360)은 제 2 버퍼(330)에 보관된 웨이퍼(W)를 제 1 버퍼(320)로 운반한다(스텝 S116). 도포부 로봇(432)은 제 1 버퍼(320)로부터 웨이퍼(W)를 꺼내어 도포 모듈(401)의 베이크 챔버(420)로 운반한다(스텝 S118). 베이 크 챔버(420)는 프리 베이크 및 냉각 공정을 순차적으로 수행한다(스텝 S120). 도포부 로봇(432)은 베이크 챔버(420)로부터 웨이퍼(W)를 꺼내어 레지스트 도포 챔버(410)로 운반한다(스텝 S122). 레지스트 도포 챔버(410)는 웨이퍼(W) 상에 포토 레지스트를 도포한다(스텝 S124). 이후 도포부 로봇(432)은 웨이퍼(W)를 레지스트 도포 챔버(410)로부터 베이크 챔버(420)로 운반한다(스텝 S126). 베이크 챔버(420)는 웨이퍼(W)에 대해 소프트 베이크 공정을 수행한다(스텝 S128). The first buffer robot 360 carries the wafer W stored in the second buffer 330 to the first buffer 320 (step S116). The application unit robot 432 removes the wafer W from the first buffer 320 and transports the wafer W to the bake chamber 420 of the application module 401 (step S118). The bake chamber 420 sequentially performs the prebaking and cooling processes (step S120). The application part robot 432 removes the wafer W from the bake chamber 420 and transfers it to the resist application chamber 410 (step S122). The resist coating chamber 410 applies a photo resist on the wafer W (step S124). The application part robot 432 then transfers the wafer W from the resist application chamber 410 to the bake chamber 420 (step S126). The baking chamber 420 performs a soft bake process on the wafer W (step S128).

도포부 로봇(432)은 베이크 챔버(420)에서 웨이퍼(W)를 꺼내어 제 2 버퍼 모듈(500)의 제 1 냉각 챔버(530)로 운반한다(스텝 S130). 제 1 냉각 챔버(530)에서 웨이퍼(W)에 대해 냉각 공정이 수행된다(스텝 S132). 제 1 냉각 챔버(530)에서 공정이 수행된 웨이퍼(W)는 제 2 버퍼 로봇(560)에 의해 에지 노광 챔버(550)로 운반된다(스텝 S134). 에지 노광 챔버(550)는 웨이퍼(W)의 가장자리 영역을 노광하는 공정을 수행한다(스텝 S136). 에지 노광 챔버(550)에서 공정이 완료된 웨이퍼(W)는 제 2 버퍼 로봇(560)에 의해 버퍼(520)로 운반된다(스텝 S138).The applicator robot 432 removes the wafer W from the bake chamber 420 and transfers the wafer W to the first cooling chamber 530 of the second buffer module 500 (step S130). In the first cooling chamber 530, a cooling process is performed on the wafer W (step S132). The wafer W on which the process is performed in the first cooling chamber 530 is transferred to the edge exposure chamber 550 by the second buffer robot 560 (step S134). The edge exposure chamber 550 performs a process of exposing the edge region of the wafer W (step S136). The wafer W having completed the process in the edge exposure chamber 550 is transferred to the buffer 520 by the second buffer robot 560 (step S138).

전처리 로봇(632)은 버퍼(520)로부터 웨이퍼(W)를 꺼내어 전처리 모듈(601)의 보호막 도포 챔버(610)로 운반한다(스텝 S140). 보호막 도포 챔버(610)는 웨이퍼(W) 상에 보호막을 도포한다(스텝 S142). 이후 전처리 로봇(632)은 웨이퍼(W)를 보호막 도포 챔버(610)로부터 베이크 챔버(620)로 운반한다(스텝 S144). 베이크 챔버(620)는 웨이퍼(W)에 대해 가열 및 냉각 등과 같은 열처리를 수행한다(스텝 S146). The preprocessing robot 632 removes the wafer W from the buffer 520 and transports the wafer W to the protective film applying chamber 610 of the pretreatment module 601 (step S140). The protective film application chamber 610 applies a protective film on the wafer W (step S142). Thereafter, the preprocessing robot 632 transfers the wafer W from the protective film applying chamber 610 to the baking chamber 620 (step S144). The baking chamber 620 performs heat treatment such as heating and cooling on the wafer W (step S146).

전처리 로봇(632)은 베이크 챔버(620)에서 웨이퍼(W)를 꺼내어 인터페이스 모듈(700)의 제 1 버퍼(720)로 운반한다(스텝 S148). 인터페이스 로봇(740)은 제 1 버퍼(720)로부터 노광 장치(900)로 웨이퍼(W)를 운반한다(스텝 S150). 노광 장치(900)에서 웨이퍼(W)에 대해 노광 공정이 수행된다(스텝 S152). 이후, 인터페이스 로봇(740)은 노광 장치(900)에서 웨이퍼(W)를 제 2 버퍼(730)로 운반한다(스텝 S154). The preprocessing robot 632 removes the wafer W from the baking chamber 620 and transports the wafer W to the first buffer 720 of the interface module 700 (step S148). The interface robot 740 carries the wafer W from the first buffer 720 to the exposure apparatus 900 (step S150). The exposure process is performed with respect to the wafer W in the exposure apparatus 900 (step S152). Thereafter, the interface robot 740 carries the wafer W to the second buffer 730 in the exposure apparatus 900 (step S154).

후처리 로봇(682)은 제 2 버퍼(730)로부터 웨이퍼(W)를 꺼내어 후처리 모듈(602)의 세정 챔버(660)로 운반한다(스텝 S156). 세정 챔버(660)는 웨이퍼(W)의 표면에 세정액을 공급하여 세정 공정을 수행한다(스텝 S158). 세정액을 이용한 웨이퍼(W)의 세정이 완료되면 후처리 로봇(682)은 곧바로 세정 챔버(660)로부터 웨이퍼(W)를 꺼내어 노광 후 베이크 챔버(670)로 웨이퍼(W)를 운반한다(스텝 S160). 노광 후 베이크 챔버(670)의 가열 플레이트(672)에서 웨이퍼(W)의 가열에 의해 웨이퍼(W) 상에 부착된 세정액이 제거되고, 이와 동시에 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화가 완성된다(스텝 S162). 후처리 로봇(682)은 노광 후 베이크 챔버(670)로부터 웨이퍼(W)를 제 2 버퍼 모듈(500)의 제 2 냉각 챔버(540)로 운반한다(스텝 S164). 제 2 냉각 챔버(540)에서 웨이퍼(W)의 냉각이 수행된다(스텝 S166).The post-processing robot 682 removes the wafer W from the second buffer 730 and transports it to the cleaning chamber 660 of the post-processing module 602 (step S156). The cleaning chamber 660 supplies a cleaning liquid to the surface of the wafer W to perform a cleaning process (step S158). After the cleaning of the wafer W using the cleaning liquid is completed, the post-processing robot 682 immediately removes the wafer W from the cleaning chamber 660 and transports the wafer W to the post-exposure bake chamber 670 (step S160). ). In the heating plate 672 of the bake chamber 670 after the exposure, the cleaning liquid adhered to the wafer W is removed by heating the wafer W, and at the same time, the acid generated in the photoresist is amplified, thereby The property change of the resist is completed (step S162). The post-processing robot 682 transfers the wafer W from the post-exposure bake chamber 670 to the second cooling chamber 540 of the second buffer module 500 (step S164). Cooling of the wafer W is performed in the second cooling chamber 540 (step S166).

현상부 로봇(482)은 제 2 냉각 챔버(540)로부터 웨이퍼(W)를 꺼내어 현상 모듈(402)의 베이크 챔버(470)로 운반한다(스텝 S168). 베이크 챔버(470)는 포스트 베이크 및 냉각 공정을 순차적으로 수행한다(스텝 S170). 현상부 로봇(482)은 베이크 챔버(470)로부터 웨이퍼(W)를 꺼내어 현상 챔버(460)로 운반한다(스텝 S172). 현상 챔버(460)는 웨이퍼(W) 상에 현상액을 공급하여 현상 공정을 수행한다(스텝 S174). 이후 현상부 로봇(482)은 웨이퍼(W)를 현상 챔버(460)로부터 베이크 챔버(470)로 운반한다(스텝 S176). 베이크 챔버(470)는 웨이퍼(W)에 대해 하드 베이크 공정을 수행한다(스텝 S178). The developing unit robot 482 removes the wafer W from the second cooling chamber 540 and transfers the wafer W to the bake chamber 470 of the developing module 402 (step S168). The bake chamber 470 sequentially performs the post bake and cooling process (step S170). The developing unit robot 482 removes the wafer W from the bake chamber 470 and transports it to the developing chamber 460 (step S172). The developing chamber 460 supplies a developing solution onto the wafer W to perform a developing process (step S174). The developing unit robot 482 then transfers the wafer W from the developing chamber 460 to the bake chamber 470 (step S176). The bake chamber 470 performs a hard bake process on the wafer W (step S178).

현상부 로봇(482)은 베이크 챔버(470)에서 웨이퍼(W)를 꺼내어 제 1 버퍼 모듈(300)의 냉각 챔버(350)로 운반한다(스텝 S180). 냉각 챔버(350)는 웨이퍼(W)를 냉각하는 공정을 수행한다(스텝 S182). 인덱스 로봇(360)은 냉각 챔버(350)부터 웨이퍼(W)를 용기(20)로 운반한다(스텝 S184). 이와 달리, 현상부 로봇(482)는 베이크 챔버(470)에서 웨이퍼(W)를 꺼내 제 1 버퍼 모듈(300)의 제 2 버퍼(330)으로 운반하고, 이후 인덱스 로봇(360)에 의해 용기(20)로 운반될 수 있다.The developing unit robot 482 removes the wafer W from the bake chamber 470 and transports the wafer W to the cooling chamber 350 of the first buffer module 300 (step S180). The cooling chamber 350 performs a process of cooling the wafer W (step S182). The index robot 360 carries the wafer W from the cooling chamber 350 to the container 20 (step S184). In contrast, the developer robot 482 removes the wafer W from the bake chamber 470 and transports the wafer W to the second buffer 330 of the first buffer module 300, and then the container ( 20).

도 1의 실시예에 의하면, 도포 및 현상 모듈(400)과 인터페이스 모듈(700) 사이에 별도로 노광 전후 처리 모듈(600)이 배치된다. 따라서 노광 전 및 노광 후에 요구되는 공정을 노광 직전 또는 직후에 수행할 수 있다.According to the embodiment of FIG. 1, the pre-exposure processing module 600 is disposed separately between the application and development module 400 and the interface module 700. Therefore, the processes required before and after the exposure can be performed immediately before or after the exposure.

또한, 노광 전후 처리 모듈(600)은 별도의 보호막 제거 챔버를 구비하지 않는다. 따라서 노광 전후 처리 모듈(600)의 구조가 비교적 간단하고, 공정에 소요되는 시간을 줄일 수 있다.In addition, the pre-exposure before and after processing module 600 does not have a separate protective film removal chamber. Therefore, the structure of the before and after exposure processing module 600 is relatively simple, and the time required for the process can be reduced.

또한, 화학증폭형 레지스트를 사용하는 경우, 노광 공정이 완료된 후 노광 후 베이크 공정이 수행되는 시기는 중요하다. 도 1의 실시예에 의하면, 노광 전후 처리 모듈(600)에 노광 후 베이크 챔버(670)가 제공된다. 따라서 웨이퍼(W)가 현상 모듈(402)으로 이동되기 전에 노광 전후 처리 모듈(600) 내에서 빠르게 산 증폭을 수행할 수 있다. In addition, when using a chemically amplified resist, it is important that the post-exposure bake process is performed after the exposure process is completed. According to the embodiment of FIG. 1, the post-exposure bake chamber 670 is provided to the pre-exposure processing module 600. Therefore, before the wafer W is moved to the developing module 402, acid amplification can be rapidly performed in the pre- and post-exposure processing module 600.

또한, 도 1의 실시예에 의하면, 세정 챔버(660)는 웨이퍼(W)에 대해 세정액을 이용한 세정만을 수행하고, 별도로 건조가스와 같은 유체의 공급에 의한 웨이퍼(W) 건조는 수행하지 않는다. 웨이퍼(W) 건조는 가열에 의해 이루어진다. 예컨대, 웨이퍼 건조는 노광 후 베이크 챔버(670)에서 산 증폭과 동시에 수행된다. 따라서 세정 챔버(660)에서 세정액에 의한 세정 및 건조 가스에 의한 건조를 모두 수행하는 경우에 비해 공정에 소요되는 시간을 줄일 수 있다.In addition, according to the embodiment of FIG. 1, the cleaning chamber 660 only performs cleaning with the cleaning liquid on the wafer W, and does not separately dry the wafer W by supplying a fluid such as a dry gas. The wafer W is dried by heating. For example, wafer drying is performed simultaneously with acid amplification in the bake chamber 670 after exposure. Therefore, the time required for the process can be reduced compared to the case where both the cleaning with the cleaning liquid and the drying with the drying gas are performed in the cleaning chamber 660.

(변형 예)(Variation example)

다음에는 상술한 기판 처리 설비(1)의 다양한 변형 예들을 예시한다.Next, various modifications of the above-described substrate processing equipment 1 are illustrated.

인덱스 로봇(220)은 제 1 버퍼(320)에 직접 웨이퍼들(W)을 운반하도록 제공될 수 있다. The index robot 220 may be provided to carry the wafers W directly to the first buffer 320.

또한, 제 1 버퍼 모듈(300)에는 냉각 챔버(350) 각각이 복수개가 서로 적층되도록 배치될 수 있다. 또한, 제 2 버퍼 모듈(500)에는 제 1 냉각 챔버(530), 제 2 냉각 챔버(540), 그리고 에지 노광 챔버(550) 각각이 복수개 제공될 수 있다. In addition, each of the cooling chambers 350 may be disposed in the first buffer module 300 such that a plurality of the cooling chambers 350 are stacked on each other. In addition, a plurality of first cooling chambers 530, second cooling chambers 540, and edge exposure chambers 550 may be provided in the second buffer module 500.

또한, 제 1 버퍼 모듈(300)에는 냉각 챔버(350)가 제공되지 않을 수도 있다. 이 경우, 웨이퍼(W)는 도포 모듈(401)로부터 도포부 로봇(432)에 의해 직접 제 1 버퍼(320)로 이송되고, 인덱스 로봇(220)은 제 1 버퍼(320)에 보관된 웨이퍼들(W)을 용기(20)로 운반할 수 있다. 또한, 웨이퍼(W)는 현상 모듈(402)로부터 현상부 로봇(482)에 의해 직접 제 2 버퍼(330)로 이송되고, 인덱스 로봇(220)은 제 2 버 퍼(330)에 보관된 웨이퍼들(W)을 용기(20)로 운반할 수 있다. In addition, the cooling chamber 350 may not be provided to the first buffer module 300. In this case, the wafer W is transferred from the application module 401 directly to the first buffer 320 by the applicator robot 432, and the index robot 220 is a wafer stored in the first buffer 320. (W) can be transported to the vessel 20. In addition, the wafer W is transferred from the developing module 402 to the second buffer 330 directly by the developing unit robot 482, and the index robot 220 is stored in the second buffer 330. (W) can be transported to the vessel 20.

또한, 제 1 버퍼 모듈(300)에서 제 2 버퍼(330)와 냉각 챔버(350)는 그 위치가 서로 변경될 수 있다. In addition, the positions of the second buffer 330 and the cooling chamber 350 in the first buffer module 300 may be changed.

또한, 도포 및 현상 모듈(400)은 서로 층으로 구획된 도포 모듈(401)과 현상 모듈(402) 대신 하나의 모듈만을 구비할 수 있다. 이 경우, 하나의 모듈 내에 도포 챔버, 현상 챔버, 베이크 챔버, 그리고 반송 챔버들이 제공될 수 있다. 이 경우, 제 1 버퍼 모듈(300)에 제 1 버퍼(320), 제 1 버퍼 로봇(360)은 제공되지 않을 수 있다.In addition, the application and development module 400 may include only one module instead of the application module 401 and the development module 402 partitioned into layers. In this case, the application chamber, the development chamber, the bake chamber, and the transfer chambers may be provided in one module. In this case, the first buffer 320 and the first buffer robot 360 may not be provided to the first buffer module 300.

또한, 제 2 버퍼 모듈(500)에는 제 1 냉각 챔버(530)가 제공되지 않을 수 있다. 이 경우, 도포 모듈(401)에서 공정이 완료된 웨이퍼(W)는 도포부 로봇(432)에 의해 직접 버퍼(520)로 이송된다. 또한, 제 2 버퍼 모듈(500)에는 제 2 냉각 챔버(540)는 제공되지 않고, 또 다른 버퍼가 제공될 수 있다. 이 경우, 후처리 모듈(602)에서 공정이 완료된 웨이퍼(W)는 후처리 로봇(682)에 의해 추가된 버퍼로 운반될 수 있다. In addition, the first cooling chamber 530 may not be provided in the second buffer module 500. In this case, the wafer W having completed the process in the application module 401 is transferred directly to the buffer 520 by the application unit robot 432. Also, the second cooling module 540 may not be provided to the second buffer module 500, and another buffer may be provided. In this case, the wafer W having completed the process in the post-processing module 602 may be transferred to the added buffer by the post-processing robot 682.

또한, 제 2 버퍼 모듈(500)은 제공되지 않고, 노광 전후 처리 모듈(600)과 도포 및 현상 모듈(400)이 인접하게 배치될 수 있다.In addition, the second buffer module 500 may not be provided, and the pre-exposure processing module 600 and the coating and developing module 400 may be disposed adjacent to each other.

또한, 노광 전후 처리 모듈(600)은 서로 층으로 구획된 전처리 모듈(601)과 후처리 모듈(602) 대신 하나의 모듈만을 구비할 수 있다. 이 경우, 하나의 모듈 내에, 보호막 도포 챔버(610), 베이크 챔버(620), 세정 챔버(660), 노광 후 베이크 챔버(670)가 모두 제공될 수 있다.In addition, the pre-exposure before and after processing module 600 may include only one module instead of the pre-processing module 601 and the post-processing module 602 partitioned into layers. In this case, in one module, the protective film applying chamber 610, the bake chamber 620, the cleaning chamber 660, and the post-exposure bake chamber 670 may all be provided.

또한, 웨이퍼(W)를 세정한 후, 웨이퍼 상에 잔류하는 세정액은 노광 후 베이크 챔버(670) 이외의 베이크 챔버에서 제거될 수 있다.In addition, after cleaning the wafer W, the cleaning liquid remaining on the wafer may be removed in a baking chamber other than the baking chamber 670 after exposure.

또한, 세정 챔버(660)에는 세정액을 공급하는 노즐 이외에 추가적으로 건조 가스를 공급하는 노즐을 더 제공될 수 있다. 이 경우, 노광 후 베이크 챔버(670)에서 웨이퍼(W)의 가열이 이루어지기 전에 웨이퍼(W) 상에 부착된 세정액을 제거할 수 있다. In addition, the cleaning chamber 660 may be further provided with a nozzle for supplying a dry gas in addition to the nozzle for supplying a cleaning liquid. In this case, the cleaning liquid attached to the wafer W may be removed before the wafer W is heated in the baking chamber 670 after exposure.

또한, 후처리 모듈(602)에는 냉각 플레이트가 제공되지 않을 수 있다. 웨이퍼(W)의 냉각은 오직 제 2 버퍼 모듈(500)에 제공된 냉각 챔버에서만 이루어질 수 있다. 이 경우, 선택적으로 제 2 버퍼 모듈(500)에는 복수의 냉각 챔버들이 서로간에 적층되도록 배치될 수 있다.In addition, the cooling module may not be provided in the aftertreatment module 602. Cooling of the wafer W may only take place in the cooling chamber provided in the second buffer module 500. In this case, optionally, the second buffer module 500 may be arranged such that a plurality of cooling chambers are stacked on each other.

또한, 전처리 모듈(601)과 후처리 모듈(602)은 그 위치가 서로 변경될 수 있다. 이 경우, 도포 모듈(401)과 현상 모듈(402)는 각각 전처리 모듈(601)과 후처리 모듈(602)에 대응되는 높이에 제공되도록 배치된다. Also, the positions of the preprocessing module 601 and the postprocessing module 602 may be changed from each other. In this case, the coating module 401 and the developing module 402 are disposed to be provided at a height corresponding to the pretreatment module 601 and the post-treatment module 602, respectively.

또한, 선택적으로 후처리 모듈(602)에는 노광 공정 후 보호막을 제거하기 위한 보호막 제거 챔버가 제공될 수 있다. 이 경우, 현상 공정이나 애싱 공정이 수행되기 전에 미리 웨이퍼(W) 상에 제공된 보호막을 제거할 수 있다.Also, optionally, the aftertreatment module 602 may be provided with a protective film removing chamber for removing the protective film after the exposure process. In this case, the protective film provided on the wafer W can be removed before the development process or the ashing process is performed.

또한, 노광 장치(900)가 액침 노광 방식 이외의 방식으로 공정을 수행하는 경우, 전처리 모듈(601)에는 보호막 도포 유닛(3000)이 제공되지 않을 수 있다. 이 경우, 선택적으로 베이크 챔버(620)도 제공되지 않을 수 있다. 이 경우, 선택적으로 노광 전후 처리 모듈(600)은 전처리 모듈(601) 없이 후처리 모듈(602)만으로 제 공될 수 있다.In addition, when the exposure apparatus 900 performs the process by a method other than the liquid immersion exposure method, the protective film applying unit 3000 may not be provided in the pretreatment module 601. In this case, the baking chamber 620 may not be optionally provided. In this case, the pre-exposure pretreatment module 600 may be provided as the post-treatment module 602 alone without the pretreatment module 601.

또한, 노광 장치(900)가 원자외선 광원을 이용하여 공정을 수행하지 않는 경우, 후처리 모듈(602)에 노광 후 베이크 챔버(670)가 제공되지 않을 수 있다.In addition, when the exposure apparatus 900 does not perform the process using the far-infrared light source, the post-exposure bake chamber 670 may not be provided to the post-processing module 602.

또한, 에지 노광 챔버(550)는 인터페이스 모듈(700)에 제공될 수 있다. 또한, 에지 노광 공정은 웨이퍼 상에 보호막을 도포하는 공정 이후에 수행되거나, 노광 공정과 웨이퍼를 세정하는 공정 사이에 수행되거나, 노광 후 베이크 공정과 현상 공정 사이에 수행될 수 있다.In addition, the edge exposure chamber 550 may be provided to the interface module 700. In addition, the edge exposure process may be performed after the process of applying a protective film on the wafer, between the exposure process and the process of cleaning the wafer, or may be performed between the post-exposure bake process and the development process.

도 6a 내지 도 6g는 웨이퍼(W) 상의 박막에 패턴이 형성되는 과정을 순차적으로 보여준다.6A through 6G sequentially illustrate a process of forming a pattern on a thin film on a wafer (W).

처음에 증착 장치(도시되지 않음)에서 웨이퍼(W) 상에 박막(12)이 증착된다(도 6a), 웨이퍼(W)는 본 실시예의 기판 처리 설비(1)로 운반된다. 도포 모듈(401)에서 웨이퍼(W) 상에 포토 레지스트(14)가 도포된다(도 6b). 상술한 바와 같이 도포 모듈(401)에서는 포토 레지스트(14)의 도포 이외에 베이크 공정 등이 더 수행된다. 이후 웨이퍼(W)는 노광 전후 처리 모듈(600)의 전처리 모듈(601)에서 웨이퍼(W) 상에 보호막(16)이 도포된다(도 6c). 상술한 바와 같이 전처리 모듈(601)에서는 베이크 공정 등의 공정이 더 수행된다. 웨이퍼(W)는 노광 장치(900)로 운반된다. 노광 장치(900)는 보호막(16) 및 포토 레지스트(14) 상의 선택된 영역(18)에 광을 조사하여, 그 영역에 제공된 보호막(16) 및 포토 레지스트(14)의 성질을 변화시킨다(도 6d). 이후 노광 전후 처리 모듈(600)의 후처리 모듈(602)은 세정 공정 및 노광 후 베이크 공정 등을 수행한다. 노광 후 베이크 공정 수행시 웨이퍼(W)에 잔류하는 세정액이 제거된다. 이후 웨이퍼(W)는 현상 모듈(402)에서 보호막(16)과 포토 레지스트들(14) 중 성질이 변화된 영역(18)이 제거된다(도 6e). 상술한 바와 같이 현상 모듈(402)에서는 현상 공정 이외에 베이크 공정 등의 공정이 더 수행된다. 이후 웨이퍼(W)는 식각 장치(도시되지 않음)로 운반된다. 식각 장치에서 박막 중 노출된 영역(13)이 식각액에 의해 제거된다(도 6f). 이후 웨이퍼(W)는 애싱 장치(도시되지 않음)로 운반된다. 애싱 장치에서 박막(12) 상에 남아 있는 포토 레지스트(14) 및 보호막(16)이 제거된다(도 6g). 증착 장치, 기판 처리 설비(1), 식각 장치, 그리고 애싱 장치 간에 웨이퍼(W)가 이동되는 동안 필요에 따라 웨이퍼(W)를 세정하는 공정 등과 같은 다른 공정이 수행될 수 있다.First, a thin film 12 is deposited on the wafer W in a deposition apparatus (not shown) (FIG. 6A), and the wafer W is conveyed to the substrate processing facility 1 of this embodiment. In the application module 401, the photoresist 14 is applied onto the wafer W (Fig. 6B). As described above, in the application module 401, a baking process and the like are further performed in addition to the application of the photoresist 14. The wafer W is then coated with a protective film 16 on the wafer W in the pretreatment module 601 of the pre-exposure processing module 600 (FIG. 6C). As described above, a process such as a baking process is further performed in the pretreatment module 601. The wafer W is conveyed to the exposure apparatus 900. The exposure apparatus 900 irradiates light to the selected region 18 on the protective film 16 and the photoresist 14 to change the properties of the protective film 16 and the photoresist 14 provided in the region (FIG. 6D). ). Thereafter, the post-processing module 602 of the pre-exposure before and after processing module 600 performs a cleaning process and a post-exposure bake process. During the post-exposure bake process, the cleaning liquid remaining on the wafer W is removed. The wafer W is then removed from the protective film 16 and the photoresist 14 of the region 18 in which the properties of the wafer W are changed (FIG. 6E). As described above, in the developing module 402, a process such as a baking process is further performed in addition to the developing process. The wafer W is then conveyed to an etching apparatus (not shown). In the etching apparatus, the exposed region 13 of the thin film is removed by the etching liquid (FIG. 6F). The wafer W is then conveyed to an ashing device (not shown). In the ashing apparatus, the photoresist 14 and the protective film 16 remaining on the thin film 12 are removed (FIG. 6G). Other processes may be performed, such as cleaning the wafer W as needed while the wafer W is moved between the deposition apparatus, substrate processing apparatus 1, etching apparatus, and ashing apparatus.

도 1 내지 도 4는 본 발명의 일 실시예에 따른 기판 처리 설비를 개략적으로 보여주는 도면들이다. 1 to 4 are schematic views showing a substrate processing apparatus according to an embodiment of the present invention.

도 5a와 도 5b는 도 1의 기판 처리 설비에서 공정이 수행되는 과정을 순차적으로 보여주는 플로우 차트이다.5A and 5B are flowcharts sequentially illustrating a process of performing a process in the substrate processing apparatus of FIG. 1.

도 6a 내지 도 6g는 웨이퍼 상에 패턴이 형성되는 과정을 순차적으로 보여준다.6A through 6G sequentially illustrate a process of forming a pattern on a wafer.

* 도면의 주요 부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

20 : 용기 100 : 로드 포트20: container 100: loading port

200 : 인덱스 모듈 300 : 제 1 버퍼 모듈200: index module 300: first buffer module

400 : 도포 및 현상 모듈 401 : 도포 모듈400: application and development module 401: application module

402 : 현상 모듈 500 : 제 2 버퍼 모듈402: developing module 500: second buffer module

600 : 노광 전후 처리 모듈 601 : 전처리 모듈600: pre and post exposure module 601: pretreatment module

602 : 후처리 모듈 700 : 인터페이스 모듈602 post-processing module 700 interface module

900 : 노광 장치900: exposure apparatus

Claims (33)

기판들이 수용되는 용기가 놓이는 로드 포트와;A load port on which a container in which the substrates are accommodated is placed; 기판들을 일시적으로 보관하는 버퍼를 가지는 제 1 버퍼 모듈과;A first buffer module having a buffer for temporarily storing substrates; 상기 로드 포트와 상기 제 1 버퍼 모듈 간에 기판을 운반하는 인덱스 모듈과;An index module for transporting a substrate between the load port and the first buffer module; 기판에 대해 포토 레지스트 도포 공정 및 현상 공정을 수행하는 도포 및 현상 모듈과;An application and development module for performing a photoresist application and development process on the substrate; 기판들을 일시적으로 보관하는 버퍼를 가지는 제 2 버퍼 모듈과;A second buffer module having a buffer for temporarily storing the substrates; 기판에 대해 포토 레지스트 도포 공정과 노광 공정 사이 및 상기 노광 공정과 현상 공정 사이에 요구되는 공정을 수행하는 노광 전후 처리 모듈과; 그리고An exposure pre- and post-processing module for performing a process required for the substrate between the photoresist coating process and the exposure process and between the exposure process and the developing process; And 노광 장치와 연결되는 인터페이스 모듈을 포함하되;An interface module connected with the exposure apparatus; 상기 로드 포트, 상기 인덱스 모듈, 상기 제 1 버퍼 모듈, 상기 도포 및 현상 모듈, 상기 제 2 버퍼 모듈, 상기 노광 전후 처리 모듈, 그리고 상기 인터페이스 모듈은 제 1 방향을 따라 일렬로 배치된 것을 특징으로 하는 기판 처리 설비.The load port, the index module, the first buffer module, the coating and developing module, the second buffer module, the pre-exposure processing module, and the interface module are arranged in a line along a first direction. Substrate processing equipment. 제 1 항에 있어서, The method of claim 1, 상기 노광 전후 처리 모듈은 기판 상에 보호막을 도포하는 보호막 도포 챔버를 포함하는 것을 특징으로 하는 기판 처리 설비.The pre- and post-exposure processing module includes a protective film applying chamber for applying a protective film on a substrate. 제 2 항에 있어서,The method of claim 2, 상기 노광 전후 처리 모듈은 기판을 세정하는 세정 챔버를 더 포함하는 것을 특징으로 하는 기판 처리 설비.The processing module before and after the exposure further comprises a cleaning chamber for cleaning the substrate. 제 3 항에 있어서,The method of claim 3, wherein 상기 노광 전후 처리 모듈은 서로 층으로 구획되는 전처리 모듈과 후처리 모듈을 포함하되;The pre-exposure before and after processing module includes a pretreatment module and a post-treatment module partitioned into layers; 상기 보호막 도포 챔버는 상기 전처리 모듈에 배치되고, 상기 세정 챔버는 상기 후처리 모듈에 배치되는 것을 특징으로 하는 기판 처리 설비.And the protective film applying chamber is disposed in the pretreatment module, and the cleaning chamber is disposed in the post-treatment module. 제 4 항에 있어서,The method of claim 4, wherein 상기 전처리 모듈은,The pretreatment module, 기판에 대해 베이크 공정을 수행하는 베이크 챔버와;A baking chamber performing a baking process on the substrate; 상기 베이크 챔버와 상기 보호막 도포 챔버 간에 기판을 운반하는 전처리 로봇을 더 포함하고,Further comprising a pre-processing robot for transporting the substrate between the baking chamber and the protective film applying chamber, 상기 후처리 모듈은,The post-processing module, 기판에 대해 노광 후 베이크 공정을 수행하는 노광 후 베이크 챔버와;A post-exposure bake chamber for performing a post-exposure bake process on the substrate; 상기 세정 챔버와 상기 노광 후 베이크 챔버 간에 기판을 운반하는 후처리 로봇을 더 포함하는 것을 특징으로 하는 기판 처리 설비.And a post-processing robot for transporting the substrate between the cleaning chamber and the post exposure bake chamber. 제 5 항에 있어서,The method of claim 5, 상기 제 2 버퍼 모듈은,The second buffer module, 기판의 에지를 노광하는 에지 노광 챔버와;An edge exposure chamber exposing the edge of the substrate; 상기 에지 노광 챔버에 대해 기판을 반송하는 제 2 버퍼 로봇을 더 포함하는 것을 특징으로 하는 기판 처리 설비.And a second buffer robot for conveying the substrate with respect to the edge exposure chamber. 제 5 항에 있어서,The method of claim 5, 상기 제 2 버퍼 모듈은 기판을 냉각하는 냉각 챔버를 더 포함하는 것을 특징으로 하는 기판 처리 설비.And the second buffer module further comprises a cooling chamber for cooling the substrate. 제 5 항에 있어서,The method of claim 5, 상기 도포 및 현상 모듈은 서로 간에 층으로 구획되는 도포 모듈과 현상 모듈을 포함하되,The application and development module includes an application module and a development module partitioned into layers from each other, 상기 도포 모듈은,The coating module, 기판 상에 포토 레지스트를 도포하는 도포 챔버와;An application chamber for applying a photoresist on the substrate; 기판에 대해 열처리를 하는 베이크 챔버와;A bake chamber to heat-treat the substrate; 상기 도포 모듈의 상기 베이크 챔버와 상기 도포 챔버 간에 기판을 운반하는 도포 로봇을 포함하고,An application robot for transporting a substrate between the bake chamber and the application chamber of the application module, 상기 현상 모듈은,The developing module, 기판에 대해 현상 공정을 수행하는 현상 챔버와;A developing chamber which performs a developing process on the substrate; 기판에 대해 열처리를 하는 베이크 챔버와;A bake chamber to heat-treat the substrate; 상기 현상 모듈의 상기 베이크 챔버와 상기 현상 챔버 간에 기판을 운반하는 현상 로봇을 더 포함하는 것을 특징으로 하는 기판 처리 설비.And a developing robot for transporting a substrate between the bake chamber and the developing chamber of the developing module. 제 8 항에 있어서,The method of claim 8, 상기 도포 모듈과 상기 전처리 모듈은 동일 높이에 배치되고, 상기 현상 모듈과 상기 후처리 모듈은 동일 높이에 배치되는 것을 특징으로 하는 기판 처리 설비. And the coating module and the pretreatment module are disposed at the same height, and the developing module and the post-treatment module are disposed at the same height. 제 9 항에 있어서,The method of claim 9, 상기 제 2 버퍼 모듈은,The second buffer module, 기판에 대해 냉각 공정을 수행하는 냉각 챔버를 포함하고,A cooling chamber for performing a cooling process on the substrate, 상기 제 2 버퍼 모듈의 상기 버퍼는 상기 도포 모듈과 대응되는 높이에 배치되고,The buffer of the second buffer module is disposed at a height corresponding to the coating module, 상기 냉각 챔버는 상기 현상 모듈과 대응되는 높이에 배치되는 것을 특징으로 하는 기판 처리 설비.And the cooling chamber is disposed at a height corresponding to the developing module. 제 5 항에 있어서,The method of claim 5, 상기 인터페이스 모듈은,The interface module, 상기 전처리 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼와;A first buffer disposed at a height corresponding to the pretreatment module to temporarily store a substrate; 상기 후처리 모듈과 대응되는 높이에 배치되며, 기판을 일시적으로 보관하는 제 2 버퍼와, 그리고A second buffer disposed at a height corresponding to the post-processing module and temporarily storing the substrate, and 상기 제 1 버퍼와 상기 노광 장치, 그리고 상기 제 2 버퍼와 상기 노광 장치 간에 기판을 운반하는 인터페이스 로봇을 포함하는 것을 특징으로 하는 기판 처리 설비.And an interface robot for transporting the substrate between the first buffer and the exposure apparatus and between the second buffer and the exposure apparatus. 제 5 항에 있어서,The method of claim 5, 상기 보호막 도포 챔버, 상기 전처리 로봇이 제공된 반송 챔버, 그리고 상기 전처리 모듈의 상기 베이크 챔버는 상부에서 바라볼 때 상기 제 1 방향과 수직한 제 2 방향으로 순차적으로 배치되고,The protective film applying chamber, the transfer chamber provided with the pretreatment robot, and the bake chamber of the pretreatment module are sequentially disposed in a second direction perpendicular to the first direction when viewed from the top, 상기 세정 챔버, 상기 후처리 로봇이 배치된 반송 챔버, 그리고 상기 노광 후 베이크 챔버는 상부에서 바라볼 때 상기 제 2 방향으로 순차적으로 배치된 것을 특징으로 하는 기판 처리 설비.And the cleaning chamber, the transfer chamber in which the post-processing robot is disposed, and the post-exposure bake chamber are sequentially arranged in the second direction when viewed from the top. 제 12 항에 있어서,13. The method of claim 12, 상기 전처리 로봇이 제공된 반송 챔버와 상기 후처리 로봇이 제공된 반송 챔버 각각은 상부에서 바라볼 때 상기 제 1 방향을 따라 상기 제 2 버퍼 모듈의 버퍼와 나란하게 배치된 것을 특징으로 하는 기판 처리 설비.And each of the transfer chamber provided with the pretreatment robot and the transfer chamber provided with the post-treatment robot are arranged in parallel with the buffer of the second buffer module along the first direction when viewed from the top. 제 13 항에 있어서,The method of claim 13, 상기 도포 및 현상 모듈은 서로 간에 층으로 구획되는 도포 모듈과 현상 모듈을 포함하되,The application and development module includes an application module and a development module partitioned into layers from each other, 상기 도포 모듈은,The coating module, 기판 상에 포토 레지스트를 도포하는 도포 챔버와;An application chamber for applying a photoresist on the substrate; 기판에 대해 열처리를 하는 베이크 챔버와;A bake chamber to heat-treat the substrate; 상기 도포 모듈의 상기 베이크 챔버와 상기 도포 챔버 간에 기판을 운반하는 도포 로봇이 제공된 반송 챔버를 포함하고,A transfer chamber provided with an application robot for transporting a substrate between the bake chamber and the application chamber of the application module, 상기 현상 모듈은,The developing module, 기판에 대해 현상 공정을 수행하는 현상 챔버와;A developing chamber which performs a developing process on the substrate; 기판에 대해 열처리를 하는 베이크 챔버와;A bake chamber to heat-treat the substrate; 상기 현상 모듈의 상기 베이크 챔버와 상기 현상 챔버 간에 기판을 운반하는 현상 로봇이 제공된 반송 챔버를 포함하고,A transfer chamber provided with a developing robot for transporting a substrate between the bake chamber and the developing chamber of the developing module, 상기 도포 로봇이 제공된 반송 챔버와 상기 현상 로봇이 제공된 반송 챔버는 각각 상부에서 바라볼 때 상기 제 2 버퍼 모듈의 상기 버퍼와 제 1 방향을 따라 나란하게 배치된 것을 특징으로 하는 기판 처리 설비.And a conveyance chamber provided with the applicator robot and a conveyance chamber provided with the developing robot are arranged side by side along the first direction with the buffer of the second buffer module when viewed from the top. 제 13 항에 있어서,The method of claim 13, 상기 제 2 버퍼 모듈은,The second buffer module, 기판의 에지를 노광하는 에지 노광 챔버와;An edge exposure chamber exposing the edge of the substrate; 상기 에지 노광 챔버에 대해 기판을 반송하는 제 2 버퍼 로봇을 더 포함하되,Further comprising a second buffer robot for conveying a substrate to the edge exposure chamber, 상기 제 2 버퍼 모듈의 버퍼, 상기 제 2 버퍼 로봇, 그리고 상기 에지 노광 챔버는 상부에서 바라볼 때 상기 제 1 방향과 수직한 제 2 방향을 따라 순차적으로 배치된 것을 특징으로 하는 기판 처리 설비.And the buffer of the second buffer module, the second buffer robot, and the edge exposure chamber are sequentially disposed along a second direction perpendicular to the first direction when viewed from the top. 기판들이 수용된 용기가 놓이는 로드 포트와;A load port on which a container containing substrates is placed; 상기 로드 포트에 놓인 용기에 대해 기판을 운반하는 인덱스 모듈과;An index module for carrying a substrate against a container placed in the load port; 기판에 대해 포토 레지스트 도포 공정 및 현상 공정을 수행하는 도포 및 현상 모듈과;An application and development module for performing a photoresist application and development process on the substrate; 기판에 대해 포토 레지스트 도포 공정과 노광 공정 사이 및 상기 노광 공정과 현상 공정 사이에 요구되는 공정을 수행하는 노광 전후 처리 모듈을 포함하되,And a pre-exposure treatment module for performing a process required for the substrate between the photoresist coating process and the exposure process and between the exposure process and the developing process, 상기 로드 포트, 상기 인덱스 모듈, 상기 도포 및 현상 모듈, 그리고 상기 노광 전후 처리 모듈은 제 1 방향을 따라 일렬로 배치된 것을 특징으로 하는 기판 처리 설비.And the load port, the index module, the coating and developing module, and the pre-exposure processing module are arranged in a line along a first direction. 제 16 항에 있어서, The method of claim 16, 상기 노광 전후 처리 모듈은 기판 상에 보호막을 도포하는 보호막 도포 챔버를 더 포함하는 것을 특징으로 하는 기판 처리 설비.The before and after exposure processing module further comprises a protective film applying chamber for applying a protective film on a substrate. 제 16 항에 있어서,The method of claim 16, 상기 노광 전후 처리 모듈은 기판을 세정하는 세정 챔버를 더 포함하는 것을 특징으로 하는 기판 처리 설비.The processing module before and after the exposure further comprises a cleaning chamber for cleaning the substrate. 제 16 항에 있어서,The method of claim 16, 상기 노광 전후 처리 모듈은 서로 층으로 구획되는 전처리 모듈과 후처리 모듈을 포함하되;The pre-exposure before and after processing module includes a pretreatment module and a post-treatment module partitioned into layers; 상기 전처리 모듈은 기판 상에 보호막을 도포하는 보호막 도포 챔버를 포함하고,The pretreatment module includes a protective film applying chamber for applying a protective film on a substrate, 상기 후처리 모듈은 기판을 세정하는 세정 챔버를 포함하는 것을 특징으로 하는 기판 처리 설비.And the post-processing module comprises a cleaning chamber for cleaning the substrate. 제 19 항에 있어서,The method of claim 19, 상기 전처리 모듈은,The pretreatment module, 기판에 대해 베이크 공정을 수행하는 베이크 챔버와;A baking chamber performing a baking process on the substrate; 상기 베이크 챔버와 상기 보호막 도포 챔버 간에 기판을 운반하는 전처리 로봇을 더 포함하고,Further comprising a pre-processing robot for transporting the substrate between the baking chamber and the protective film applying chamber, 상기 후처리 모듈은,The post-processing module, 기판에 대해 노광 후 베이크 공정을 수행하는 노광 후 베이크 챔버와;A post-exposure bake chamber for performing a post-exposure bake process on the substrate; 상기 세정 챔버와 상기 노광 후 베이크 챔버 간에 기판을 운반하는 후처리 로봇을 더 포함하는 것을 특징으로 하는 기판 처리 설비.And a post-processing robot for transporting the substrate between the cleaning chamber and the post exposure bake chamber. 제 20 항에 있어서,The method of claim 20, 상기 도포 및 현상 모듈은 서로 간에 층으로 구획되는 도포 모듈과 현상 모듈을 포함하되,The application and development module includes an application module and a development module partitioned into layers from each other, 상기 도포 모듈은,The coating module, 기판 상에 포토 레지스트를 도포하는 도포 챔버와;An application chamber for applying a photoresist on the substrate; 기판에 대해 열처리를 하는 베이크 챔버와; 그리고A bake chamber to heat-treat the substrate; And 상기 도포 모듈의 상기 베이크 챔버와 상기 도포 챔버 간에 기판을 운반하는 도포 로봇을 포함하고,An application robot for transporting a substrate between the bake chamber and the application chamber of the application module, 상기 현상 모듈은,The developing module, 기판에 대해 현상 공정을 수행하는 현상 챔버와;A developing chamber which performs a developing process on the substrate; 기판에 대해 열처리를 하는 베이크 챔버와; 그리고A bake chamber to heat-treat the substrate; And 상기 현상 모듈의 상기 베이크 챔버와 상기 현상 챔버 간에 기판을 운반하는 도포 로봇을 더 포함하는 것을 특징으로 하는 기판 처리 설비.And a coating robot for transporting the substrate between the bake chamber and the developing chamber of the developing module. 제 21 항에 있어서,The method of claim 21, 상기 도포 모듈과 상기 전처리 모듈은 동일 높이에 배치되고, 상기 현상 모듈과 상기 후처리 모듈은 동일 높이에 배치되는 것을 특징으로 하는 기판 처리 설비. And the coating module and the pretreatment module are disposed at the same height, and the developing module and the post-treatment module are disposed at the same height. 제 22 항에 있어서,The method of claim 22, 상기 기판 처리 설비는 상기 도포 및 현상 모듈과 상기 노광 전후 처리 모듈 사이에 배치되는 버퍼 모듈을 더 포함하되,The substrate processing apparatus further includes a buffer module disposed between the coating and developing module and the pre-exposure processing module, 상기 버퍼 모듈은 기판이 일시적으로 머무르는 버퍼를 포함하는 것을 특징으로 하는 기판 처리 설비.And the buffer module includes a buffer on which the substrate temporarily stays. 제 23 항에 있어서,The method of claim 23, 상기 보호막 도포 챔버, 상기 전처리 로봇이 제공된 반송 챔버, 그리고 상기 전처리 모듈의 상기 베이크 챔버는 상부에서 바라볼 때 상기 제 1 방향과 수직한 제 2 방향으로 순차적으로 배치되고,The protective film applying chamber, the transfer chamber provided with the pretreatment robot, and the bake chamber of the pretreatment module are sequentially disposed in a second direction perpendicular to the first direction when viewed from the top, 상기 세정 챔버, 상기 후처리 로봇이 배치된 반송 챔버, 그리고 상기 노광 후 베이크 챔버는 상부에서 바라볼 때 상기 제 2 방향으로 순차적으로 배치되고,The cleaning chamber, the transfer chamber in which the post-processing robot is disposed, and the post-exposure bake chamber are sequentially disposed in the second direction when viewed from the top, 상기 도포 챔버, 상기 도포 로봇이 제공된 반송 챔버, 그리고 상기 도포 모듈의 상기 베이크 챔버는 상부에서 바라볼 때 상기 제 2 방향으로 순차적으로 배치되고, The application chamber, the transfer chamber provided with the application robot, and the bake chamber of the application module are sequentially disposed in the second direction when viewed from the top, 상기 현상 챔버, 상기 현상 로봇이 제공된 반송 챔버, 그리고 상기 현상 모듈의 상기 베이크 챔버는 상부에서 바라볼 때 상기 제 2 방향으로 순차적으로 배치되고,The developing chamber, the transfer chamber provided with the developing robot, and the bake chamber of the developing module are sequentially disposed in the second direction when viewed from the top, 상기 전처리 로봇이 제공된 반송 챔버, 상기 후처리 로봇이 제공된 반송 챔 버, 상기 도포 로봇이 제공된 반송 챔버, 그리고 상기 현상 로봇이 제공된 반송 챔버는 각각 상부에서 바라볼 때 상기 버퍼 모듈의 상기 버퍼와 제 1 방향을 따라 나란하게 배치된 것을 특징으로 하는 기판 처리 설비.The transfer chamber provided with the pretreatment robot, the transfer chamber provided with the post-processing robot, the transfer chamber provided with the application robot, and the transfer chamber provided with the developing robot, respectively, are viewed from the top when the buffer and the first of the buffer module are viewed. Substrate processing equipment, characterized in that arranged side by side along the direction. 제 16 항에 있어서,The method of claim 16, 상기 기판 처리 설비는 상기 도포 및 현상 모듈과 상기 노광 전후 처리 모듈 사이에 배치되는 버퍼 모듈을 더 포함하되,The substrate processing apparatus further includes a buffer module disposed between the coating and developing module and the pre-exposure processing module, 상기 버퍼 모듈은 기판을 일시적으로 보관하는 버퍼를 구비하는 것을 특징으로 하는 기판 처리 설비.And said buffer module comprises a buffer for temporarily storing a substrate. 제 16 항에 있어서,The method of claim 16, 상기 기판 처리 설비는,The substrate processing equipment, 상기 인덱스 모듈과 상기 도포 및 현상 모듈 사이에 배치되는 제 1 버퍼 모듈과;A first buffer module disposed between the index module and the application and development module; 상기 도포 및 현상 모듈과 상기 노광 전후 처리 모듈 사이에 배치되는 제 2 버퍼 모듈을 더 포함하되,Further comprising a second buffer module disposed between the application and development module and the pre-exposure processing module, 상기 제 1 버퍼 모듈과 상기 제 2 버퍼 모듈은 각각 기판을 일시적으로 보관하는 버퍼를 구비하는 것을 특징으로 하는 기판 처리 설비.And said first buffer module and said second buffer module each have a buffer for temporarily storing a substrate. 제 16 항에 있어서,The method of claim 16, 상기 기판 처리 설비는 노광 장치와 연결되는 인터페이스 모듈을 더 포함하되,The substrate processing apparatus further includes an interface module connected to the exposure apparatus, 상기 인터페이스 모듈은 상기 노광 전후 처리 모듈을 기준으로 상기 도포 및 현상 모듈과 반대측에 배치되는 것을 특징으로 하는 기판 처리 설비.And the interface module is disposed opposite to the coating and developing module based on the pre-exposure processing module. 기판을 처리하는 방법에 있어서,In the method of processing a substrate, 기판 상에 포토 레지스트를 도포하는 공정을 수행하는 단계와;Performing a process of applying photoresist on the substrate; 상기 포토 레지스트가 도포된 기판 상에 보호막을 도포하는 공정을 수행하는 단계와;Performing a process of applying a protective film on the substrate to which the photoresist is applied; 상기 보호막이 도포된 기판에 대해 액침 노광 공정을 수행하는 단계와;Performing a liquid immersion exposure process on the substrate coated with the protective film; 상기 액침 노광이 수행된 기판을 세정하는 공정을 수행하는 단계와; 그리고Performing a process of cleaning the substrate on which the immersion exposure has been performed; And 상기 기판에 대해 현상 공정을 수행하는 단계를 포함하는 것을 특징으로 하는 기판 처리 방법.Performing a development process on the substrate. 제 28 항에 있어서,29. The method of claim 28, 상기 기판을 세정하는 공정과 상기 기판에 대해 현상 공정을 수행하는 단계 사이에, 상기 기판에 대해 노광 후 베이크 공정을 수행하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.And performing a post-exposure bake process on the substrate, between the cleaning of the substrate and the developing process on the substrate. 제 29 항에 있어서,30. The method of claim 29, 상기 기판을 세정하는 공정은 세정액을 기판으로 공급하여 이루어지고,The process of cleaning the substrate is made by supplying a cleaning liquid to the substrate, 상기 기판 상에 잔류하는 세정액의 제거는 유체의 공급 없이 기판의 가열에 의해 이루어지는 것을 특징으로 하는 기판 처리 방법.The removal of the cleaning liquid remaining on the substrate is performed by heating the substrate without supplying a fluid. 제 29 항에 있어서,30. The method of claim 29, 상기 기판을 세정하는 공정은 세정액을 이용하여 기판을 세정하고, The process of cleaning the substrate is to wash the substrate using a cleaning liquid, 상기 기판 상에 잔류하는 세정액을 제거하는 공정은 상기 기판을 세정하는 공정의 직후에 이루어지는 상기 노광 후 베이크 공정이 수행되는 것을 특징으로 하는 기판 처리 방법.The process of removing the cleaning liquid remaining on the substrate is performed after the post-exposure bake process performed immediately after the process of cleaning the substrate. 제 28 항에 있어서,29. The method of claim 28, 상기 보호막은 상기 현상 공정 동안 또는 상기 현상 공정 이후에 제거되는 것을 특징으로 하는 기판 처리 방법.And said protective film is removed during or after said developing process. 제 28 항에 있어서,29. The method of claim 28, 상기 보호막의 일부는 상기 현상 공정에서 제거되고, 나머지 일부는 애싱 공정에서 제거되는 것을 특징으로 하는 기판 처리 방법.A portion of the protective film is removed in the developing step, and a part of the protective film is removed in the ashing step.
KR1020090027375A 2009-01-30 2009-03-31 Facility for treating substrates KR101166109B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
TW099102592A TWI466214B (en) 2009-01-30 2010-01-29 Apparatus and method for treating substrate
JP2010019560A JP2010177673A (en) 2009-01-30 2010-01-29 Apparatus and method for treating substrate
US12/656,449 US20100192844A1 (en) 2009-01-30 2010-01-29 Apparatus and method for treating substrate
CN2010101035029A CN101814422B (en) 2009-01-30 2010-02-01 Apparatus and method for treating substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20090007629 2009-01-30
KR1020090007629 2009-01-30

Publications (2)

Publication Number Publication Date
KR20100088506A true KR20100088506A (en) 2010-08-09
KR101166109B1 KR101166109B1 (en) 2012-07-23

Family

ID=42754717

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090027375A KR101166109B1 (en) 2009-01-30 2009-03-31 Facility for treating substrates

Country Status (2)

Country Link
KR (1) KR101166109B1 (en)
TW (1) TWI466214B (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130118236A (en) * 2012-04-19 2013-10-29 도쿄엘렉트론가부시키가이샤 Substrate treatment apparatus, substrate treatment method and storage medium
KR20160039035A (en) * 2014-09-30 2016-04-08 세메스 주식회사 Apparatus for treating substrate and System for treating substrate with the apparatus
KR20170031907A (en) * 2015-09-14 2017-03-22 세메스 주식회사 Apparatus for treating a substrate
KR20170071810A (en) * 2015-12-16 2017-06-26 세메스 주식회사 Facility and method for maintenance thereof
KR20190038363A (en) * 2017-09-29 2019-04-08 시바우라 메카트로닉스 가부시끼가이샤 Substrate processing apparatus and substrate processing method
KR20200023343A (en) * 2017-10-12 2020-03-04 세메스 주식회사 Method and Apparatus for treating substrate

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101582569B1 (en) 2013-09-30 2016-01-07 세메스 주식회사 Substrate treating apparatus, substrate treating facility including the apparatus, and substrate treating method using the apparatus
KR101919122B1 (en) * 2014-08-12 2018-11-15 주식회사 제우스 Apparatus and method treating substrate for seperation process
JP6994489B2 (en) * 2019-10-02 2022-01-14 東京エレクトロン株式会社 Coating, developing equipment and coating, developing method
JP7297650B2 (en) * 2019-11-27 2023-06-26 株式会社Screenホールディングス SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE TRANSFER METHOD

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3851751B2 (en) * 1999-03-24 2006-11-29 東京エレクトロン株式会社 Processing system
US6402400B1 (en) * 1999-10-06 2002-06-11 Tokyo Electron Limited Substrate processing apparatus
US6402401B1 (en) * 1999-10-19 2002-06-11 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP4356936B2 (en) * 2005-01-21 2009-11-04 東京エレクトロン株式会社 Coating and developing apparatus and method thereof
JP2008042019A (en) * 2006-08-08 2008-02-21 Tokyo Electron Ltd Patterning method and device

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130118236A (en) * 2012-04-19 2013-10-29 도쿄엘렉트론가부시키가이샤 Substrate treatment apparatus, substrate treatment method and storage medium
KR20160039035A (en) * 2014-09-30 2016-04-08 세메스 주식회사 Apparatus for treating substrate and System for treating substrate with the apparatus
KR20170031907A (en) * 2015-09-14 2017-03-22 세메스 주식회사 Apparatus for treating a substrate
KR20170071810A (en) * 2015-12-16 2017-06-26 세메스 주식회사 Facility and method for maintenance thereof
KR20190038363A (en) * 2017-09-29 2019-04-08 시바우라 메카트로닉스 가부시끼가이샤 Substrate processing apparatus and substrate processing method
KR20200023343A (en) * 2017-10-12 2020-03-04 세메스 주식회사 Method and Apparatus for treating substrate

Also Published As

Publication number Publication date
KR101166109B1 (en) 2012-07-23
TW201036092A (en) 2010-10-01
TWI466214B (en) 2014-12-21

Similar Documents

Publication Publication Date Title
KR101166109B1 (en) Facility for treating substrates
JP2010177673A (en) Apparatus and method for treating substrate
US9059224B2 (en) System and method for treating substrate
KR101605721B1 (en) Bake apparatus and Apparatus for treating substrate
KR102397846B1 (en) Apparatus for treating a substrate
KR101109074B1 (en) System and method for treating substrates
KR102223763B1 (en) Apparatus and Method for treating substrate
KR101977752B1 (en) Apparatus and Method for treating a substrate
KR102343636B1 (en) Apparatus for treating substrate
KR102324405B1 (en) Apparatus and Method for treating substrate
KR102046869B1 (en) Member for suppliyng a substrate, Buffer unit, and Apparatus for treating a substrate
KR102054221B1 (en) Apparatus for transferring substrate and Apparatus for treating substrate with it
KR101895404B1 (en) Apparatus and Method for treating substrate
KR102037921B1 (en) Apparatus and Method for treating substrate
KR102010261B1 (en) Apparatus and Method for treating a substrate
JP3966884B2 (en) Substrate processing apparatus, substrate processing method, and substrate manufacturing method
KR101768518B1 (en) Transfer chamber, Apparatus for treating substrate, and method for trasnferring substrate
KR102223764B1 (en) Apparatus and Method for treating substrate
KR102156897B1 (en) Apparatus and Method for treating substrate
KR102233465B1 (en) Unit for transferring substrate, Apparatus and Method for treating substrate with the unit
KR102037904B1 (en) Substrate treating apparatus and substrate treating method
JP2008109158A (en) Substrate treatment apparatus, substrate treatment method, substrate producing method and electronic device
KR102201883B1 (en) Unit for supporting substrate and Apparatus for treating substrate with the unit
JP3611568B2 (en) Substrate processing apparatus, substrate processing method, and substrate manufacturing method
JP2008166820A (en) Apparatus and method for processing substrate, method for manufacturing substrate, and electronic instrument

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20150713

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160629

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170711

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20180703

Year of fee payment: 7