KR101109074B1 - System and method for treating substrates - Google Patents

System and method for treating substrates Download PDF

Info

Publication number
KR101109074B1
KR101109074B1 KR1020090027373A KR20090027373A KR101109074B1 KR 101109074 B1 KR101109074 B1 KR 101109074B1 KR 1020090027373 A KR1020090027373 A KR 1020090027373A KR 20090027373 A KR20090027373 A KR 20090027373A KR 101109074 B1 KR101109074 B1 KR 101109074B1
Authority
KR
South Korea
Prior art keywords
module
substrate
buffer
exposure
chamber
Prior art date
Application number
KR1020090027373A
Other languages
Korean (ko)
Other versions
KR20100088505A (en
Inventor
김동호
최진영
고재승
황수민
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=42754716&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101109074(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to CN 201010106018 priority Critical patent/CN101794710B/en
Priority to TW099102594A priority patent/TWI424278B/en
Priority to US12/656,425 priority patent/US8289496B2/en
Priority to TW102133483A priority patent/TWI509371B/en
Priority to JP2010019559A priority patent/JP5181306B2/en
Publication of KR20100088505A publication Critical patent/KR20100088505A/en
Application granted granted Critical
Publication of KR101109074B1 publication Critical patent/KR101109074B1/en
Priority to US13/587,512 priority patent/US9059224B2/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers

Abstract

본 발명은 기판 처리 시스템 및 기판 처리 방법을 제공한다. 기판 처리 시스템은 도포 유닛, 노광 전후 처리 유닛, 그리고 현상 유닛을 가진다. 각각의 유닛은 로드 포트 및 인덱스 모듈을 가진다. 노광 전후 처리 유닛은 층으로 구획된 제 1 모듈과 제 2 모듈을 가진다. 제 1 모듈은 노광 전에 웨이퍼 상에 보호막을 도포하는 공정을 수행한다. 제 2 모듈은 노광 후에 웨이퍼를 세정하는 공정 및 노광 후 베이크 공정을 수행한다.

Figure R1020090027373

노광 후 베이크, 액침 노광, 보호막, 웨이퍼, 포토 리소그래피

The present invention provides a substrate processing system and a substrate processing method. The substrate processing system has a coating unit, a pre-exposure processing unit, and a developing unit. Each unit has a load port and an index module. The pre and post-exposure processing unit has a first module and a second module partitioned into layers. The first module performs a process of applying a protective film on the wafer before exposure. The second module performs a process of cleaning the wafer after exposure and a post-exposure bake process.

Figure R1020090027373

Bake after exposure, immersion exposure, protective film, wafer, photolithography

Description

기판 처리 시스템 및 기판 처리 방법{SYSTEM AND METHOD FOR TREATING SUBSTRATES}Substrate Processing System and Substrate Processing Method {SYSTEM AND METHOD FOR TREATING SUBSTRATES}

본 발명은 기판을 처리하는 장치 및 방법에 관한 것으로, 더 상세하게는 웨이퍼에 포토 리소그래피 공정을 수행하는 데 사용되는 장치 및 방법에 관한 것이다.The present invention relates to an apparatus and method for processing a substrate, and more particularly, to an apparatus and method used to perform a photolithography process on a wafer.

반도체 소자를 제조하기 위해서는 세정, 증착, 포토 리소그래피, 에칭, 그리고 이온주입 등과 같은 다양한 공정이 수행된다. 패턴을 형성하기 위해 수행되는 포토 리소그래피 공정은 반도체 소자의 고집적화를 이루는데 중요한 역할을 수행한다.In order to manufacture a semiconductor device, various processes such as cleaning, deposition, photolithography, etching, and ion implantation are performed. Photolithography processes performed to form patterns play an important role in achieving high integration of semiconductor devices.

일반적으로 포토 리소그래피 공정을 수행하는 설비는 웨이퍼에 레지스트를 도포하는 도포기, 노광이 완료된 웨이퍼에 대해 현상 공정을 수행하는 현상기, 그리고 노광 장치와의 인라인 연결을 위한 인터페이스를 가진 처리 모듈을 가진다. 그러나 최근에 반도체 소자가 고집적화됨에 따라 노광 공정에 소요되는 시간이 길어져 노광 장치에서 웨이퍼의 적체가 증가되고 있다. 이로 인해 기판 처리 모듈에 제공된 도포기와 현상기에서 처리 효율이 크게 저하되고 있다.In general, an apparatus for performing a photolithography process has an applicator for applying a resist to a wafer, a developer for performing a developing process on an exposed wafer, and a processing module having an interface for inline connection with the exposure apparatus. However, in recent years, as semiconductor devices have been highly integrated, the time required for the exposure process has been increased, and stacking of wafers in the exposure apparatus has increased. This greatly reduces the processing efficiency in the applicator and developer provided in the substrate processing module.

본 발명은 포토 리소그래피 공정의 효율을 향상시킬 수 있는 기판 처리 시스템 및 방법을 제공한다.The present invention provides a substrate processing system and method that can improve the efficiency of a photolithography process.

본 발명은 노광 공정의 전후에 수행되는 도포 공정 및 현상 공정을 수행하는 유닛들의 생산량을 증가시킬 수 있는 기판 처리 시스템 및 방법을 제공한다.The present invention provides a substrate processing system and method capable of increasing the yield of units performing the coating process and the developing process performed before and after the exposure process.

본 발명은 공정을 수행하는 챔버들이 효율적으로 배치되도록 하는 레이아웃을 가진 기판 처리 시스템을 제공한다.The present invention provides a substrate processing system having a layout that allows the chambers that perform the process to be efficiently disposed.

본 발명의 목적은 여기에 제한되지 않으며, 언급되지 않은 또 다른 목적들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다. The objects of the present invention are not limited thereto, and other objects not mentioned can be clearly understood by those skilled in the art from the following description.

본 발명은 포토 리소그래피 공정을 수행하는 기판 처리 시스템을 제공한다. 상기 기판 처리 시스템은 기판에 대해 도포 공정을 수행하는 도포 유닛; 노광 공정을 수행하는 노광 장치와 연결되고, 상기 도포 유닛에서 공정이 수행된 기판에 대해 노광 전후 처리 공정을 수행하는 노광 전후 처리 유닛; 그리고 상기 노광 전후 처리 유닛에서 공정이 수행된 기판에 대해 현상 공정을 수행하는 현상 유닛을 포함한다. 상기 도포 유닛, 상기 노광 전후 처리 유닛, 그리고 상기 현상 유닛은, 각각 웨이퍼들이 수납된 용기가 놓이는 로드 포트, 상기 용기로 기판을 넣거나 상기 용기로부터 기판을 꺼내는 인덱스 모듈, 그리고 기판 상에 소정의 공정을 수행하는 공정 모듈을 포함한다. 상기 로드 포트, 상기 인덱스 모듈, 그리고 상기 공정 모듈 은 순차적으로 배치된다. 상기 노광 전후 처리 유닛은 상기 노광 장치와 연결되는 인터페이스 모듈을 더 포함하고, 상기 인터페이스 모듈은 상기 공정 모듈을 기준으로 상기 인덱스 모듈의 반대 쪽에 배치된다. The present invention provides a substrate processing system for performing a photolithography process. The substrate processing system includes a coating unit that performs a coating process on a substrate; An exposure pre- and post-exposure processing unit connected to an exposure apparatus that performs an exposure process and performing a pre-exposure treatment process on a substrate on which the process is performed in the coating unit; And a developing unit which performs a developing process on the substrate on which the process is performed in the pre-exposure before and after processing unit. The coating unit, the pre-exposure processing unit, and the developing unit each include a load port in which a container containing wafers is placed, an index module for inserting a substrate into or removing a substrate from the container, and a predetermined process on the substrate. Process module to perform. The load port, the index module, and the process module are sequentially arranged. The pre-exposure before and after processing unit further includes an interface module connected to the exposure apparatus, and the interface module is disposed on the opposite side of the index module with respect to the process module.

일 예에 의하면, 상기 노광 전후 처리 유닛의 공정 모듈은 서로 층으로 구획되는 제 1 모듈과 제 2 모듈을 포함한다. 상기 제 1 모듈은 기판 상에 보호막을 도포하는 보호막 도포 챔버, 기판에 대해 열처리를 수행하는 베이크 챔버, 상기 보호막 도포 챔버와 상기 베이크 챔버 간에 기판을 운반하는 제 1 로봇을 포함할 수 있다. 상기 제 2 모듈은 기판을 세정하는 세정 챔버를 포함할 수 있다. 또한, 상기 제 2 모듈은 노광된 기판에 대해 노광 후 베이크 공정을 수행하는 노광 후 베이크 챔버와 상기 세정 챔버 및 상기 노광 후 베이크 챔버 간에 기판을 운반하는 제 2 로봇을 더 포함할 수 있다. In one embodiment, the process module of the pre-exposure before and after processing unit includes a first module and a second module partitioned into layers. The first module may include a protective coating chamber for applying a protective film on a substrate, a baking chamber for performing heat treatment on the substrate, and a first robot for transporting the substrate between the protective coating chamber and the baking chamber. The second module may include a cleaning chamber for cleaning the substrate. The second module may further include a post-exposure bake chamber that performs a post-exposure bake process on the exposed substrate, and a second robot that transports the substrate between the cleaning chamber and the post-exposure bake chamber.

상기 노광 전후 처리 유닛은 상기 인덱스 모듈과 상기 공정 모듈 사이에 배치된 버퍼 모듈을 더 포함할 수 있다. 상기 버퍼 모듈은 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼, 상기 제 2 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 2 버퍼를 포함할 수 있다. 상기 제 1 버퍼와 상기 제 2 버퍼 각각은 서로 적층되도록 배치되며, 기판이 놓이는 복수의 지지대들을 포함할 수 있다. 또한, 상기 노광 전후 처리 유닛의 버퍼 모듈은 상기 제 1 버퍼와 상기 제 2 버퍼 간에 기판을 운반하는 버퍼 로봇을 더 포함할 수 있다. 상기 제 1 버퍼와 상기 제 2 버퍼는 상하 방향으로 나란하게 배치될 수 있다. 상기 버퍼 모듈은 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 냉 각하는 냉각 챔버를 더 포함할 수 있다. The pre-exposure before and after processing unit may further include a buffer module disposed between the index module and the process module. The buffer module may include a first buffer disposed at a height corresponding to the first module and temporarily storing the substrate, and a second buffer disposed at a height corresponding to the second module and temporarily storing the substrate. . Each of the first buffer and the second buffer may be disposed to be stacked on each other, and may include a plurality of supports on which a substrate is placed. In addition, the buffer module of the pre-exposure processing unit may further include a buffer robot for transporting the substrate between the first buffer and the second buffer. The first buffer and the second buffer may be arranged side by side in the vertical direction. The buffer module may further include a cooling chamber disposed at a height corresponding to the first module and cooling the substrate.

상기 인터페이스 모듈은 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼, 상기 제 2 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 2 버퍼, 그리고 상기 제 1 버퍼와 상기 노광 장치, 그리고 상기 제 2 버퍼와 상기 노광 장치 간에 기판을 운반하는 인터페이스 로봇을 포함할 수 있다. The interface module is a first buffer disposed at a height corresponding to the first module and temporarily storing the substrate, a second buffer disposed at a height corresponding to the second module and temporarily storing the substrate, and the first buffer It may include a buffer and the exposure apparatus, and an interface robot for transporting the substrate between the second buffer and the exposure apparatus.

상기 도포 유닛은 에지 노광 모듈을 더 포함하되, 상기 에지 노광 모듈은 상기 공정 모듈을 기준으로 상기 인덱스 모듈의 반대쪽에 배치될 수 있다. The coating unit may further include an edge exposure module, wherein the edge exposure module may be disposed on an opposite side of the index module with respect to the process module.

또한 본 발명은 포토 레지스트가 도포된 기판에 대해 노광 전 및 노광 후에 요구되는 공정을 수행하는 노광 전후 처리 유닛을 제공한다. 상기 노광 전후 처리 유닛은 기판들이 수납된 용기가 놓이는 로드 포트, 상기 용기로부터 기판을 꺼내거나 상기 용기로 기판을 넣는 인덱스 모듈, 기판들에 대해 공정을 수행하는 공정 모듈, 그리고 노광 장치와 연결되는 인터페이스 모듈을 포함한다. 상기 로드 포트, 상기 인덱스 모듈, 상기 공정 모듈, 그리고 상기 인터페이스 모듈은 제 1 방향을 따라 순차적으로 배치되고, 상기 공정 모듈은 기판 상에 보호막을 도포하는 보호막 도포 챔버를 포함한다. 상기 공정 모듈은 기판을 세정하는 세정 챔버를 더 포함할 수 있다. 상기 공정 모듈은 기판에 대해 열처리를 수행하는 베이크 챔버를 더 포함할 수 있다. 상기 공정 모듈은 노광된 기판에 대해 노광 후 베이크 공정을 수행하는 노광 후 베이크 챔버를 더 포함할 수 있다. The present invention also provides a pre-exposure treatment unit for performing a process required before and after exposure to a substrate to which a photoresist is applied. The pre-exposure before and after processing unit includes a load port in which a container containing substrates is placed, an index module for removing a substrate from the container or inserting the substrate into the container, a process module for performing a process on the substrates, and an interface connected to the exposure apparatus. Contains modules The load port, the index module, the process module, and the interface module are sequentially disposed along a first direction, and the process module includes a protective film applying chamber for applying a protective film on a substrate. The process module may further include a cleaning chamber for cleaning the substrate. The process module may further include a baking chamber that performs heat treatment on the substrate. The process module may further include a post-exposure bake chamber that performs a post-exposure bake process on the exposed substrate.

상기 공정 모듈은 서로 층으로 구획되게 배치되는 제 1 모듈과 제 2 모듈을 포함하되, 상기 보호막 도포 챔버는 상기 제 1 모듈에 배치되고, 상기 세정 챔버는 상기 제 2 모듈에 배치될 수 있다. 상기 공정 모듈은 상기 제 1 모듈에 배치되며 기판에 대해 열처리를 수행하는 베이크 챔버와 상기 제 1 모듈에 배치되며 상기 보호막 도포 챔버와 상기 베이크 챔버 간에 기판을 운반하는 제 1 로봇, 상기 제 2 모듈에 배치되며 노광된 기판에 대해 노광 후 베이크 공정을 수행하는 노광 후 베이크 챔버, 그리고 상기 제 2 모듈에 배치되며 상기 세정 챔버 및 상기 노광 후 베이크 챔버 간에 기판을 운반하는 제 2 로봇을 포함할 수 있다. The process module may include a first module and a second module disposed to be partitioned into layers, wherein the protective film applying chamber may be disposed in the first module, and the cleaning chamber may be disposed in the second module. The process module is disposed in the first module and the bake chamber for performing heat treatment on the substrate and the first robot disposed in the first module and transports the substrate between the protective film applying chamber and the bake chamber, the second module And a post-exposure bake chamber configured to perform a post-exposure bake process on the exposed and disposed substrates, and a second robot disposed in the second module and transferring the substrate between the cleaning chamber and the post-exposure bake chamber.

상기 노광 전후 처리 유닛은 상기 인덱스 모듈과 상기 공정 모듈 사이에 배치된 버퍼 모듈을 더 포함하되, 상기 버퍼 모듈은 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼와 상기 제 2 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 2 버퍼를 포함할 수 있다. 상기 제 1 버퍼와 상기 제 2 버퍼 각각은 서로 적층되도록 배치되며, 기판이 놓이는 복수의 지지대들을 포함할 수 있다. 상기 노광 전후 처리 유닛의 버퍼 모듈은 상기 제 1 버퍼와 상기 제 2 버퍼 간에 기판을 운반하는 버퍼 로봇을 더 포함할 수 있다. 상기 제 1 버퍼와 상기 제 2 버퍼는 상하 방향으로 나란하게 배치될 수 있다. 상기 버퍼 모듈은 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 냉각하는 냉각 챔버를 더 포함할 수 있다. The pre-exposure before and after processing unit further includes a buffer module disposed between the index module and the process module, wherein the buffer module is disposed at a height corresponding to the first module and temporarily stores a substrate. It may include a second buffer disposed at a height corresponding to the second module to temporarily store the substrate. Each of the first buffer and the second buffer may be disposed to be stacked on each other, and may include a plurality of supports on which a substrate is placed. The buffer module of the pre-exposure before and after processing unit may further include a buffer robot that transports the substrate between the first buffer and the second buffer. The first buffer and the second buffer may be arranged side by side in the vertical direction. The buffer module may further include a cooling chamber disposed at a height corresponding to the first module and cooling the substrate.

상기 인터페이스 모듈은 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼; 상기 제 2 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 2 버퍼; 상기 제 1 버퍼와 상기 노광 장치 간에, 그리 고 상기 제 2 버퍼와 상기 노광 장치 간에 기판을 운반하는 인터페이스 로봇을 포함할 수 있다. 상기 제 1 버퍼와 상기 제 2 버퍼 각각은 서로 적층되도록 배치되며, 기판이 놓이는 복수의 지지대들을 포함할 수 있다.The interface module may include a first buffer disposed at a height corresponding to the first module and temporarily storing a substrate; A second buffer disposed at a height corresponding to the second module and temporarily storing a substrate; And an interface robot for transporting a substrate between the first buffer and the exposure apparatus and between the second buffer and the exposure apparatus. Each of the first buffer and the second buffer may be disposed to be stacked on each other, and may include a plurality of supports on which a substrate is placed.

본 발명의 다른 예에 의하면, 노광 전후 처리 유닛은 기판들이 수납된 용기가 놓이는 로드 포트, 상기 용기로 기판을 넣거나 상기 용기로부터 기판을 꺼내는 인덱스 모듈, 기판들에 대해 공정을 수행하는 공정 모듈, 상기 인덱스 모듈과 상기 공정 모듈 사이에 배치되는 버퍼 모듈, 그리고 노광 장치와 연결되는 인터페이스 모듈을 포함한다. 상기 로드 포트, 상기 인덱스 모듈, 상기 버퍼 모듈, 상기 공정 모듈, 그리고 상기 인터페이스 모듈은 제 1 방향을 따라 순차적으로 배치되고, 상기 공정 모듈은 서로 층으로 구획되는 제 1 모듈과 제 2 모듈을 포함한다. 상기 제 1 모듈은 기판 상에 보호막을 도포하는 보호막 도포 챔버, 기판에 대해 열처리를 수행하는 베이크 챔버, 상기 보호막 도포 챔버, 상기 베이크 챔버, 상기 버퍼 모듈, 그리고 상기 인터페이스 모듈 간에 기판을 반송하는 제 1 로봇이 제공된 반송 챔버를 포함한다. 상기 제 2 모듈은 기판을 세정하는 세정 챔버, 기판에 대해 노광 후 베이크 공정을 수행하는 노광 후 베이크 챔버, 상기 세정 챔버, 상기 노광 후 베이크 챔버, 상기 버퍼 모듈, 그리고 상기 인터페이스 모듈 간에 기판을 반송하는 제 2 로봇이 제공된 반송 챔버를 포함한다. According to another example of the invention, the pre-exposure before and after processing unit is a load port in which a container in which the substrates are placed, an index module for putting a substrate into or withdrawing the substrate from the container, a process module for performing a process on the substrates, A buffer module disposed between the index module and the process module, and an interface module connected to the exposure apparatus. The load port, the index module, the buffer module, the process module, and the interface module are sequentially disposed along a first direction, and the process module includes a first module and a second module partitioned into layers. . The first module may be configured to transfer a substrate between a protective film applying chamber for applying a protective film on a substrate, a baking chamber for performing heat treatment on the substrate, the protective film applying chamber, the bake chamber, the buffer module, and the interface module. The robot includes a transfer chamber provided. The second module transfers a substrate between a cleaning chamber for cleaning a substrate, a post-exposure bake chamber for performing a post-exposure bake process on the substrate, the cleaning chamber, the post-exposure bake chamber, the buffer module, and the interface module. The second robot includes a transfer chamber provided.

상기 보호막 도포 챔버, 상기 제 1 로봇이 제공된 반송 챔버, 상기 베이크 챔버는 상기 제 1 방향과 수직한 제 2 방향을 따라 순차적으로 배치되고, 상기 세정 챔버, 상기 제 2 로봇이 제공된 반송 챔버, 상기 노광 후 베이크 챔버는 상기 제 2 방향을 따라 순차적으로 배치될 수 있다. The protective film applying chamber, the transfer chamber provided with the first robot, and the bake chamber are sequentially disposed along a second direction perpendicular to the first direction, and the cleaning chamber, the transfer chamber provided with the second robot, and the exposure The post bake chamber may be sequentially arranged along the second direction.

상기 제 1 모듈은 상기 제 2 모듈의 상부에 배치되고, 상기 버퍼 모듈은 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼, 상기 제 2 모듈과 대응되는 높이에 배치되며 기판을 냉각하는 냉각 챔버를 포함하고, 상기 제 1 버퍼와 상기 냉각 챔버는 상하 방향으로 일렬로 배치되며, 상기 제 1 버퍼는 상부에서 바라볼 때 상기 제 1 모듈의 반송 챔버와 상기 제 1 방향을 따라 일렬로 배치될 수 있다. The first module is disposed above the second module, the buffer module is disposed at a height corresponding to the first module and the first buffer for temporarily storing the substrate, at a height corresponding to the second module And a cooling chamber configured to cool the substrate, wherein the first buffer and the cooling chamber are arranged in a line in the vertical direction, and the first buffer is viewed from above and the conveying chamber of the first module and the first direction. It can be arranged along the line.

상기 버퍼 모듈은 상기 제 2 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 2 버퍼, 상기 제 1 버퍼 및 상기 제 2 버퍼 간에 기판을 운반하는 버퍼 로봇을 더 포함하고, 상기 제 1 버퍼 및 상기 버퍼 로봇은 상부에서 바라볼 때 상기 제 1 방향과 수직한 제 2 방향을 따라 배치될 수 있다. The buffer module further includes a second buffer disposed at a height corresponding to the second module and temporarily transferring the substrate between the first buffer and the second buffer, wherein the buffer robot carries the substrate between the first buffer and the first buffer. And the buffer robot may be disposed along a second direction perpendicular to the first direction when viewed from the top.

또한, 본 발명은 기판을 처리하는 방법을 제공한다. 기판 처리 방법은 기판 상에 포토 레지스트를 도포하는 공정을 수행하는 단계, 상기 포토 레지스트가 도포된 기판 상에 보호막을 도포하는 공정을 수행하는 단계, 상기 보호막이 도포된 기판에 대해 액침 노광 공정을 수행하는 단계, 상기 액침 노광이 수행된 기판을 세정하는 공정을 수행하는 단계, 그리고 상기 기판에 대해 현상 공정을 수행하는 단계를 포함한다. 상기 보호막을 도포하는 공정을 수행하는 단계와 상기 세정하는 공정을 수행하는 단계는 상기 액침 노광 공정을 수행하는 노광 장치와 인라인으로 연결된 노광 전후 처리 유닛에서 이루어지고, 상기 포토 레지스트를 도포하는 공정을 수행하는 단계는 상기 노광 전후 처리 유닛과 분리되어 배치된 도포 유닛에서 이루 어지고, 상기 현상 공정을 수행하는 단계는 상기 노광 전후 처리 유닛과 분리되어 배치된 도포 유닛에서 이루어진다. The present invention also provides a method of treating a substrate. The substrate treating method includes performing a process of applying a photoresist on a substrate, performing a process of applying a protective film on the substrate on which the photoresist is applied, and performing a liquid immersion exposure process on the substrate on which the protective film is applied. Performing a process of cleaning the substrate on which the liquid immersion exposure has been performed, and performing a developing process on the substrate. The process of applying the protective film and the performing of the cleaning process are performed in a pre-exposure processing unit connected inline with an exposure apparatus that performs the liquid immersion exposure process, and performs the process of applying the photoresist. The step of performing is performed in an application unit disposed separately from the pre-exposure treatment unit, and the step of performing the developing process is performed in an application unit disposed separately from the pre-exposure treatment unit.

상기 기판 처리 방법은 상기 기판을 세정하는 공정과 상기 기판에 대해 현상 공정을 수행하는 단계 사이에, 상기 기판에 대해 노광 후 베이크 공정을 수행하는 단계를 더 포함할 수 있다. 상기 기판을 세정하는 공정은 세정액을 기판으로 공급하여 이루어지고, 상기 기판 상에 잔류하는 세정액은 상기 노광 후 베이크 공정을 수행하는 동안 제거될 수 있다. The substrate processing method may further include performing a post-exposure bake process on the substrate between the process of cleaning the substrate and the process of developing the substrate. The process of cleaning the substrate is performed by supplying a cleaning liquid to the substrate, and the cleaning liquid remaining on the substrate may be removed during the post-exposure bake process.

상기 기판을 세정하는 공정은 세정액을 이용하여 기판을 세정하고, 상기 기판 상에 잔류하는 세정액을 제거하는 공정은 상기 기판을 세정하는 공정의 직후에 이루어지는 상기 노광 후 베이크 공정에서 수행될 수 있다. The process of cleaning the substrate may be performed in the post-exposure bake process performed immediately after the process of cleaning the substrate, and the process of removing the cleaning liquid remaining on the substrate using the cleaning liquid.

상기 보호막은 상기 노광 전후 처리 유닛의 외부에서 제거될 수 있다. 상기 보호막의 일부는 상기 현상 공정에서 제거되고, 나머지 일부는 애싱 공정에서 제거될 수 있다. The protective film may be removed from the outside of the processing unit before and after exposure. A part of the protective film may be removed in the developing process, and the other part may be removed in the ashing process.

본 발명에 의하면, 포토 리소그래피 공정을 효율적으로 수행할 수 있다.According to the present invention, a photolithography process can be performed efficiently.

본 발명에 의하면, 도포유닛 및 현상 유닛에서 생산량을 증가시킬 수 있다.According to the present invention, the yield can be increased in the coating unit and the developing unit.

본 발명에 의하면, 화학 증폭형 포토레지스트가 사용되는 경우, 노광 후 베이크 공정을 노광 공정 후 빠른 시간 내에 처리할 수 있다.According to the present invention, when a chemically amplified photoresist is used, the post-exposure bake process can be processed in a short time after the exposure process.

본 발명에 의하면, 세정 챔버에 별도의 건조 노즐을 제공하지 않고, 노광 후 베이크 유닛에서 산 증폭과 함께 기판 상에 잔류하는 세정액을 제거할 수 있으므로 공정에 소요되는 시간을 줄일 수 있다.According to the present invention, since the cleaning liquid remaining on the substrate can be removed together with acid amplification in the bake unit after exposure, a separate drying nozzle is not provided in the cleaning chamber, thereby reducing the time required for the process.

본 발명에 의하면, 노광 전후 처리 유닛에 별도의 보호막 제거 챔버를 제공하지 않고, 후속되는 현상 공정과 애싱 공정에서 보호막을 제거하므로, 공정에 소요되는 시간을 줄일 수 있다.According to the present invention, since the protective film is removed in a subsequent developing step and an ashing step without providing a separate protective film removing chamber in the pre-exposure processing unit, the time required for the process can be reduced.

이하, 본 발명의 실시 예를 첨부된 도면 도 1 내지 도 14g를 참조하여 더욱 상세히 설명한다. 본 발명의 실시 예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래의 실시 예들로 한정되는 것으로 해석되어서는 안 된다. 본 실시 예는 당업계에서 평균적인 지식을 가진 자에게 본 발명을 더욱 완전하게 설명하기 위해 제공되는 것이다. 따라서 도면에서의 요소의 형상은 보다 명확한 설명을 강조하기 위해 과장되었다. Hereinafter, exemplary embodiments of the present invention will be described in more detail with reference to FIGS. 1 to 14G. The embodiments of the present invention may be modified in various forms, and the scope of the present invention should not be construed as being limited to the following embodiments. This embodiment is provided to more completely explain the present invention to those skilled in the art. Therefore, the shape of the elements in the drawings are exaggerated to emphasize a more clear description.

본 실시예의 시스템은 반도체 웨이퍼 또는 평판 표시 패널과 같은 기판에 대해 포토리소그래피 공정을 수행하는 데 사용된다. 특히 본 실시예의 시스템은 기판에 대해 도포 공정, 현상 공정, 그리고 액침 노광 전후에 요구되는 노광 전후 처리 공정을 수행하는 데 사용된다. 아래에서는 기판으로 웨이퍼가 사용된 경우를 예로 들어 설명한다.The system of this embodiment is used to perform a photolithography process on a substrate such as a semiconductor wafer or a flat panel display panel. In particular, the system of this embodiment is used to perform a coating process, a developing process, and a pre-exposure treatment process required before and after the liquid immersion exposure to the substrate. Hereinafter, a case where a wafer is used as a substrate will be described.

도 1은 본 발명의 일 실시예에 따른 기판 처리 시스템(1)을 개략적으로 보여주는 도면이다. 도 1을 참조하면, 기판 처리 시스템(1)은 도포 유닛(3000), 노광 전후 처리 유닛(4000), 그리고 현상 유닛(5000)을 가진다. 도포 유닛(3000), 노광 전후 처리 유닛(4000), 그리고 현상 유닛(5000)은 각각 서로 간에 분리되어 배치된 다. 웨이퍼(W)는 자동 이송 장치(1000)나 작업자에 의해 도포 유닛(3000), 노광 전후 처리 유닛(4000), 그리고 현상 유닛(5000) 간에 운반된다. 웨이퍼(W)는 용기(도 2의 2000) 내에 수납된 상태로 이동된다. 이 때 용기(2000)는 외부로부터 밀폐될 수 있는 구조를 가진다. 예컨대, 용기(2000)로는 전방에 도어를 가지는 전면 개방 일체식 포드(Front Open Unified Pod; FOUP)가 사용될 수 있다. 아래에서는 도포 유닛(3000), 노광 전후 처리 유닛(4000), 그리고 현상 유닛(5000)이 각각 그 길이방향이 서로 간에 나란하게 배치된 경우를 설명한다. 그러나 이와 달리 도포 유닛(3000), 노광 전후 처리 유닛(4000), 그리고 현상 유닛(5000)은 그 길이 방향이 서로 간에 평행하지 않은 상태로 배치될 수 있다.1 schematically shows a substrate processing system 1 according to an embodiment of the present invention. Referring to FIG. 1, the substrate processing system 1 has a coating unit 3000, a pre-exposure before and after processing unit 4000, and a developing unit 5000. The coating unit 3000, the before and after exposure processing unit 4000, and the developing unit 5000 are each disposed separately from each other. The wafer W is transported between the coating unit 3000, the exposure before and after processing unit 4000, and the developing unit 5000 by the automatic transfer device 1000 or the operator. The wafer W is moved in the state accommodated in the container (2000 of FIG. 2). At this time, the container 2000 has a structure that can be sealed from the outside. For example, as the container 2000, a front open unified pod (FOUP) having a door in front may be used. Below, the case where the coating unit 3000, the exposure before-and-after processing unit 4000, and the developing unit 5000 are arrange | positioned in parallel with each other in the longitudinal direction is demonstrated. In contrast, however, the coating unit 3000, the pre-exposure treatment unit 4000, and the developing unit 5000 may be disposed in a state in which their longitudinal directions are not parallel to each other.

도포 유닛(3000)은 웨이퍼(W)에 대해 제 1 공정을 수행한다. 제 1 공정은 웨이퍼(W)에 포토레지스트와 같은 감광액을 도포하는 공정 및 레지스트 도포 공정 전후에 웨이퍼(W)에 대해 가열 및 냉각과 같은 열처리 공정을 포함한다. The coating unit 3000 performs a first process on the wafer (W). The first process includes a process of applying a photoresist such as photoresist to the wafer W and a heat treatment process such as heating and cooling of the wafer W before and after the resist application process.

현상 유닛(5000)은 웨이퍼(W)에 대해 제 2 공정을 수행한다. 제 2 공정은 웨이퍼(W) 상에 패턴을 얻기 위해 현상액을 공급하여 포토 레지스트의 일부를 제거하는 현상 공정, 및 현상 전후에 웨이퍼(W)에 대해 수행되는 가열 및 냉각과 같은 열처리 공정을 포함한다.The developing unit 5000 performs a second process on the wafer W. FIG. The second process includes a developing process of removing a part of the photoresist by supplying a developer to obtain a pattern on the wafer W, and a heat treatment process such as heating and cooling performed on the wafer W before and after developing. .

노광 전후 처리 유닛(4000)은 노광 장치(9000)와 인라인으로 연결되도록 배치된다. 노광 전후 처리 유닛(4000)은 제 3 공정을 포함한다. 제 3 공정은 제 1 공정과 노광 공정 사이, 그리고 노광 공정과 제 2 공정 사이에 수행되는 공정으로 노광 전후에 요구되는 공정을 포함한다. 예컨대, 노광 장치(9000)가 액침 노광 공정 을 수행하는 경우, 제 3 공정은 액침 노광시에 웨이퍼(W)에 도포된 포토레지스트 막을 보호하는 보호막을 도포하는 공정을 포함할 수 있다. 또한, 제 3 공정은 노광 이후에 웨이퍼(W)를 세정하는 공정을 포함할 수 있다. 또한, 화학증폭형 레지스트를 사용하여 도포 공정이 수행되고, 원자외선(deep ultraviolet, DUV) 광원을 사용하여 노광 공정이 수행되는 경우, 제 3 공정은 노광 후 베이크 공정을 포함할 수 있다. The pre-exposure before and after processing unit 4000 is arranged to be connected inline with the exposure apparatus 9000. The pre- and post-exposure processing unit 4000 includes a third process. The third process is a process performed between the first process and the exposure process and between the exposure process and the second process, and includes a process required before and after exposure. For example, when the exposure apparatus 9000 performs the immersion exposure process, the third process may include applying a protective film that protects the photoresist film applied to the wafer W during the immersion exposure. In addition, the third process may include a process of cleaning the wafer W after exposure. In addition, when the coating process is performed using a chemically amplified resist and the exposure process is performed using a deep ultraviolet (DUV) light source, the third process may include a post-exposure bake process.

이하, 각각의 유닛에 대해서 상세히 설명한다.Hereinafter, each unit will be described in detail.

(도포 유닛)(Application unit)

도 2 내지 도 4는 도포 유닛(3000)의 구조를 개략적으로 보여주는 도면들이다. 도 2는 도포 유닛(3000)을 상부에서 바라본 도면이고, 도 3은 도 2의 도포 유닛(3000)을 'A' 방향을 따라 바라본 도면이고, 도 4는 도 2의 도포 유닛(3000)을 'B' 방향을 따라 바라본 도면이다.2 to 4 are diagrams schematically showing the structure of the coating unit 3000. 2 is a view of the coating unit 3000 from above, FIG. 3 is a view of the coating unit 3000 of FIG. 2 along the 'A' direction, and FIG. 4 is a view of the coating unit 3000 of FIG. It is a view seen along the B 'direction.

도 2 내지 도 4를 참조하면, 도포 유닛(3000)은 로드 포트(3100), 인덱스 모듈(3200), 버퍼 모듈(3300), 공정 모듈(3400), 그리고 에지 노광 모듈(3500)을 가진다. 로드 포트(3100), 인덱스 모듈(3200), 버퍼 모듈(3300), 공정 모듈(3400), 그리고 에지 노광 모듈(3500)은 순차적으로 일 방향으로 일렬로 배치된다. 이하, 로드 포트(3100), 인덱스 모듈(3200), 버퍼 모듈(3300), 공정 모듈(3400), 그리고 에지 노광 모듈(3500)이 배치된 방향을 제 1 방향(12)이라 칭하고, 상부에서 바라볼 때 제 1 방향(12)과 수직한 방향을 제 2 방향(14)이라 칭하고, 제 1 방향(12) 및 제 2 방향(14)과 각각 수직한 방향을 제 3 방향(16)이라 칭한다. 2 to 4, the coating unit 3000 includes a load port 3100, an index module 3200, a buffer module 3300, a process module 3400, and an edge exposure module 3500. The load port 3100, the index module 3200, the buffer module 3300, the process module 3400, and the edge exposure module 3500 are sequentially arranged in one direction. Hereinafter, the direction in which the load port 3100, the index module 3200, the buffer module 3300, the process module 3400, and the edge exposure module 3500 are disposed is referred to as a first direction 12 and is viewed from above. When viewed, the direction perpendicular to the first direction 12 is referred to as the second direction 14, and the direction perpendicular to the first direction 12 and the second direction 14, respectively, is referred to as a third direction 16.

로드 포트(3100)는 웨이퍼들(W)이 수납된 용기(2000)가 놓여지는 재치대(3120)를 가진다. 재치대(3120)는 복수개가 제공되며, 재치대들(3120)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 2에서는 4개의 재치대(3120)가 제공되었다. The load port 3100 has a mounting table 3120 on which a container 2000 in which wafers W are accommodated is placed. The mounting table 3120 may be provided in plural, and the mounting tables 3120 may be arranged in a line along the second direction 14. In FIG. 2 four mounting tables 3120 were provided.

인덱스 모듈(3200)은 로드 포트(3100)의 재치대(3120)에 놓인 용기(2000)와 버퍼 모듈(3300) 간에 웨이퍼(W)를 이송한다. 인덱스 모듈(3200)은 프레임(3210), 인덱스 로봇(3220), 그리고 가이드 레일(3230)을 가진다. 프레임(3210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(3100)와 버퍼 모듈(3300) 사이에 배치된다. 인덱스 모듈(3200)의 프레임(3210)은 후술하는 버퍼 모듈(3300)의 프레임(3310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(3220)과 가이드 레일(3230)은 프레임(3210) 내에 배치된다. 인덱스 로봇(3220)은 웨이퍼(W)를 직접 핸들링하는 핸드(3221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고 회전될 수 있도록 4축 구동이 가능한 구조를 가진다. 인덱스 로봇(3220)은 핸드(3221), 아암(3222), 지지대(3223), 그리고 받침대(3224)를 가진다. 핸드(3221)는 아암(3222)에 고정 설치된다. 아암(3222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(3223)는 그 길이 방향이 제 3 방향(16)을 따라 배치된다. 아암(3222)은 지지대(3223)를 따라 이동 가능하도록 지지대(3223)에 결합된다. 지지대(3223)는 받침대(3224)에 고정결합된다. 가이드 레일(3230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(3224)는 가이드 레일(3230)을 따라 직선 이동 가능하도록 가이드 레일(3230)에 결합된다. 또한, 도시 되지는 않았지만, 프레임(3210)에는 용기(2000)의 도어를 개폐하는 도어 오프너가 더 제공된다.The index module 3200 transfers the wafer W between the container 2000 placed on the mounting table 3120 of the load port 3100 and the buffer module 3300. The index module 3200 has a frame 3210, an index robot 3220, and a guide rail 3230. The frame 3210 is generally provided in the shape of an empty cuboid and is disposed between the load port 3100 and the buffer module 3300. The frame 3210 of the index module 3200 may be provided at a height lower than that of the frame 3310 of the buffer module 3300, which will be described later. The index robot 3220 and the guide rail 3230 are disposed in the frame 3210. The index robot 3220 drives four axes so that the hand 3221, which directly handles the wafer W, is movable and rotated in the first direction 12, the second direction 14, and the third direction 16. This has a possible structure. The index robot 3220 has a hand 3221, an arm 3222, a support 3223, and a pedestal 3224. The hand 3221 is fixed to the arm 3222. Arm 3222 is provided in a stretchable and rotatable structure. The support 3223 is disposed in the longitudinal direction along the third direction 16. Arm 3222 is coupled to support 3223 to be movable along support 3223. The support 3223 is fixedly coupled to the pedestal 3224. The guide rail 3230 is provided such that its longitudinal direction is disposed along the second direction 14. The pedestal 3224 is coupled to the guide rail 3230 so as to be linearly movable along the guide rail 3230. In addition, although not shown, the frame 3210 is further provided with a door opener for opening and closing the door of the container 2000.

도 3을 참조하면, 버퍼 모듈(3300)은 프레임(3310), 제 1 버퍼(3320), 제 2 버퍼(3330), 제 1 냉각 챔버(3340), 제 2 냉각 챔버(3350), 그리고 버퍼 로봇(3360)을 가진다. 프레임(3310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(3200)과 공정 모듈(3400) 사이에 배치된다. 제 1 버퍼(3320), 제 2 버퍼(3330), 제 1 냉각 챔버(3340), 제 2 냉각 챔버(3350), 그리고 버퍼 로봇(3360)은 프레임(3310) 내에 위치된다. 제 2 냉각 챔버(3350), 제 2 버퍼(3330), 제 1 냉각 챔버(3340), 그리고 제 1 버퍼(3320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 냉각 챔버(3340) 및 제 1 버퍼(3320)는 후술하는 공정 모듈(3400)의 제 1 모듈(3401)과 대응되는 높이에 위치되고, 제 2 냉각 챔버(3350)와 제 2 버퍼(3330)는 후술하는 공정 모듈(3400)의 제 2 모듈(3402)과 대응되는 높이에 위치된다. 버퍼 로봇(3360)은 제 2 버퍼(3330), 제 2 냉각 챔버(3350), 제 1 버퍼(3320), 그리고 제 1 냉각 챔버(3340)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다. Referring to FIG. 3, the buffer module 3300 may include a frame 3310, a first buffer 3320, a second buffer 3330, a first cooling chamber 3340, a second cooling chamber 3350, and a buffer robot. Has 3360. The frame 3310 is provided in the shape of an empty rectangular parallelepiped, and is disposed between the index module 3200 and the process module 3400. The first buffer 3320, the second buffer 3330, the first cooling chamber 3340, the second cooling chamber 3350, and the buffer robot 3360 are located in the frame 3310. The second cooling chamber 3350, the second buffer 3330, the first cooling chamber 3340, and the first buffer 3320 are sequentially disposed along the third direction 16 from below. The first cooling chamber 3340 and the first buffer 3320 are positioned at a height corresponding to the first module 3401 of the process module 3400, which will be described later, and the second cooling chamber 3350 and the second buffer 3330 may be used. ) Is positioned at a height corresponding to the second module 3402 of the process module 3400 described later. The buffer robot 3360 is positioned at a predetermined distance from the second buffer 3330, the second cooling chamber 3350, the first buffer 3320, and the first cooling chamber 3340 in the second direction 14. .

제 2 버퍼(3330)와 제 1 버퍼(3320)는 각각 복수의 웨이퍼들(W)을 일시적으로 보관한다. 제 2 버퍼(3330)는 하우징(3331)과 복수의 지지대들(3332)을 가진다. 지지대들(3332)은 하우징(3331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(3332)에는 하나의 웨이퍼(W)가 놓인다. 하우징(3331)은 인덱스 로봇(3220), 버퍼 로봇(3360), 그리고 후술하는 제 2 모 듈(3402)의 제 2 로봇(3482)이 하우징(3331) 내 지지대(3332)에 웨이퍼(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(3220)이 제공된 방향, 버퍼 로봇(3360)이 제공된 방향, 그리고 제 2 로봇(3482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(3320)는 제 2 버퍼(3330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(3320)의 하우징(3321)에는 버퍼 로봇(3360)이 제공된 방향 및 후술하는 제 1 모듈(3401)에 위치된 제 1 로봇(3432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(3320)에 제공된 지지대(3322)의 수와 제 2 버퍼(3330)에 제공된 지지대(3332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(3330)에 제공된 지지대(3332)의 수는 제 1 버퍼(3320)에 제공된 지지대(3322)의 수보다 많을 수 있다. The second buffer 3330 and the first buffer 3320 temporarily store the plurality of wafers W, respectively. The second buffer 3330 has a housing 3331 and a plurality of supports 3332. The supports 3332 are disposed in the housing 3331 and are spaced apart from each other along the third direction 16. One support W is placed on each support 3332. In the housing 3331, the index robot 3220, the buffer robot 3360, and the second robot 3402 of the second module 3402 described later connect the wafer W to the support 3332 in the housing 3331. It has an opening (not shown) in the direction provided with the index robot 3220, the direction provided with the buffer robot 3360, and the direction provided with the second robot 3402 so as to be able to import or export. The first buffer 3320 has a structure generally similar to that of the second buffer 3330. However, the housing 3321 of the first buffer 3320 has an opening in the direction in which the buffer robot 3360 is provided and in the direction in which the first robot 3432 located in the first module 3401 described later is provided. The number of supports 3322 provided in the first buffer 3320 and the number of supports 3332 provided in the second buffer 3330 may be the same or different. According to an example, the number of supports 3332 provided in the second buffer 3330 may be greater than the number of supports 3322 provided in the first buffer 3320.

버퍼 로봇(3360)은 제 1 버퍼(3320)와 제 2 버퍼(3330) 간에 웨이퍼(W)를 이송시킨다. 버퍼 로봇(3360)은 핸드(3361), 아암(3362), 그리고 지지대(3363)를 가진다. 핸드(3361)는 아암(3362)에 고정 설치된다. 아암(3362)은 신축 가능한 구조로 제공되어, 핸드(3361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(3362)은 지지대(3363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(3363)에 결합된다. 지지대(3363)는 제 2 버퍼(3330)에 대응되는 위치부터 제 1 버퍼(3320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(3363)는 이보다 위 또는 아래 방향으로 더 길게 제공될 수 있다. 버퍼 로봇(3360)은 단순히 핸드(3361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The buffer robot 3360 transfers the wafer W between the first buffer 3320 and the second buffer 3330. The buffer robot 3360 has a hand 3331, an arm 3332, and a support 3363. The hand 3331 is fixed to the arm 3302. Arm 3332 is provided in an extensible structure to allow hand 3331 to move along the second direction 14. Arm 3332 is coupled to support 3363 to be linearly movable in a third direction 16 along support 3363. The support 3333 has a length extending from a position corresponding to the second buffer 3330 to a position corresponding to the first buffer 3320. Support 3363 may be provided longer in the up or down direction than this. The buffer robot 3360 may simply be provided such that the hand 3331 is only biaxially driven along the second direction 14 and the third direction 16.

제 1 냉각 챔버(3340)와 제 2 냉각 챔버(3350)는 각각 웨이퍼(W)를 냉각한 다. 제 2 냉각 챔버(3350)는 하우징(3351)과 냉각 플레이트(3352)를 가진다. 냉각 플레이트(3352)는 웨이퍼(W)가 놓이는 상면 및 웨이퍼(W)를 냉각하는 냉각 수단(3353)을 가진다. 냉각 수단(3353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 제 2 냉각 챔버(3350)에는 웨이퍼(W)를 냉각 플레이트(3352) 상에 위치시키는 리프트 핀 어셈블리(도시되지 않음)가 제공될 수 있다. 하우징(3351)은 인덱스 로봇(3220) 및 후술하는 제 2 모듈(3402)에 제공된 제 2 로봇(3482)이 냉각 플레이트(3352)에 웨이퍼(W)를 반입 또는 반출할 수 있도록 인덱스 로봇(3220)이 제공된 방향 및 제 2 로봇(3482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 또한, 제 2 냉각 챔버(3350)에는 상술한 개구를 개폐하는 도어들(도시되지 않음)이 제공될 수 있다. 제 1 냉각 챔버(3340)와 제 2 냉각 챔버(3350)는 서로 간에 동일한 구조를 가진다. The first cooling chamber 3340 and the second cooling chamber 3350 cool the wafers W, respectively. The second cooling chamber 3350 has a housing 3331 and a cooling plate 3332. The cooling plate 3332 has an upper surface on which the wafer W is placed and cooling means 3353 for cooling the wafer W. As shown in FIG. As the cooling means 3353, various methods such as cooling by cooling water or cooling using a thermoelectric element may be used. In addition, the second cooling chamber 3350 may be provided with a lift pin assembly (not shown) that positions the wafer W on the cooling plate 3332. The housing 3331 is an index robot 3220 so that the index robot 3220 and the second robot 3402 provided in the second module 3402 described later can load or unload the wafer W to the cooling plate 3332. This provided direction and the second robot 3342 have openings (not shown) in the provided direction. In addition, the second cooling chamber 3350 may be provided with doors (not shown) for opening and closing the above-described opening. The first cooling chamber 3340 and the second cooling chamber 3350 have the same structure with each other.

공정 모듈(3400)은 웨이퍼(W)가 노광 전후 처리 유닛(4000)으로 운반되기 전에 필요한 공정을 수행한다. 공정 모듈(3400)은 대체로 직육면체의 형상을 가진다. 공정 모듈(3400)은 제 1 모듈(3401)과 제 2 모듈(3402)을 가진다. 제 1 모듈(3401)과 제 2 모듈(3402)은 서로 간에 층으로 구획되도록 배치된다. 제 1 모듈(3401)과 제 2 모듈(3402)은 각각 동일한 공정을 수행하도록 제공될 수 있다. 일 예에 의하면, 제 1 모듈(3401)은 제 2 모듈(3402)의 상부에 위치된다.The process module 3400 performs a necessary process before the wafer W is transported to the pre- and post-exposure processing unit 4000. Process module 3400 generally has the shape of a cuboid. The process module 3400 has a first module 3401 and a second module 3402. The first module 3401 and the second module 3402 are arranged to partition into each other in layers. The first module 3401 and the second module 3402 may each be provided to perform the same process. According to an example, the first module 3401 is located on top of the second module 3402.

제 1 모듈(3401)은 레지스트 도포 챔버(3410), 베이크 챔버(3420), 그리고 반송 챔버(3430)를 가진다. 레지스트 도포 챔버(3410), 베이크 챔버(3420), 그리고 반송 챔버(3430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 레지스트 도포 챔버(3410)와 베이크 챔버(3420)는 반송 챔버(3430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 레지스트 도포 챔버(3410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 레지스트 도포 챔버(3410)가 제공된 예가 도시되었다. 베이크 챔버(3420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(3420)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(3420)는 더 많은 수로 제공될 수 있다.The first module 3401 has a resist application chamber 3410, a bake chamber 3420, and a transfer chamber 3430. The resist application chamber 3410, the bake chamber 3420, and the transfer chamber 3430 are sequentially disposed along the second direction 14. Accordingly, the resist application chamber 3410 and the bake chamber 3420 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 3430 interposed therebetween. A plurality of resist coating chambers 3410 may be provided, and a plurality of resist coating chambers 3410 may be provided in the first direction 12 and the third direction 16, respectively. In the figure, an example is provided in which six resist application chambers 3410 are provided. A plurality of baking chambers 3420 are provided in the first direction 12 and the third direction 16, respectively. In the figure, an example in which six bake chambers 3420 are provided is shown. Alternatively, the baking chamber 3420 may be provided in larger numbers.

반송 챔버(3430)는 버퍼 모듈(3300)의 제 1 버퍼(3320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(3430) 내에는 제 1 로봇(3432)과 가이드 레일(3433)이 위치된다. 반송 챔버(3433)는 대체로 직사각의 형상을 가진다. 제 1 로봇(3432)은 베이크 챔버들(3420), 레지스트 도포 챔버들(3400), 버퍼 모듈(3300)의 제 1 버퍼(3320)와 제 1 냉각 챔버(3340), 그리고 후술하는 에지 노광 모듈(3500)의 제 1 버퍼(3520)와 제 1 냉각 챔버(3540) 간에 웨이퍼(W)를 이송한다. 가이드 레일(3433)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일(3433)은 제 1 로봇(3432)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 제 1 로봇(3432)은 핸드(3434), 아암(3435), 지지대(3436), 그리고 받침대(3437)를 가진다. 핸드(3434)는 아암(3435)에 고정 설치된다. 아암(3435)은 신축 가능한 구조로 제공되어 핸드(3434)가 수평 방향으로 이동 가능하도록 한다. 지지대(3436)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(3435)은 지지대(3436)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(3436)에 결합된 다. 지지대(3436)는 받침대(3437)에 고정 결합되고, 받침대(3437)는 가이드 레일(3433)을 따라 이동 가능하도록 가이드 레일(3433)에 결합된다.The transfer chamber 3430 is positioned side by side in the first direction 12 with the first buffer 3320 of the buffer module 3300. The first robot 3432 and the guide rail 3433 are positioned in the transfer chamber 3430. The transfer chamber 3433 has a generally rectangular shape. The first robot 3432 may include baking chambers 3420, resist coating chambers 3400, a first buffer 3320 and a first cooling chamber 3340 of the buffer module 3300, and an edge exposure module (described below). The wafer W is transferred between the first buffer 3520 of the 3500 and the first cooling chamber 3540. The guide rail 3433 is disposed such that its longitudinal direction is parallel to the first direction 12. The guide rail 3333 guides the first robot 3432 to move linearly in the first direction 12. The first robot 3432 has a hand 3434, an arm 3435, a support 3434, and a pedestal 3437. The hand 3434 is fixedly installed on the arm 3435. Arm 3435 is provided in a flexible structure to allow hand 3434 to move in the horizontal direction. The support 3336 is provided such that its longitudinal direction is disposed along the third direction 16. Arm 3435 is coupled to support 3336 such that it is linearly movable in a third direction 16 along support 3436. The support 3436 is fixedly coupled to the pedestal 3437, and the pedestal 3437 is coupled to the guide rail 3433 to be movable along the guide rail 3433.

레지스트 도포 챔버들(3410)은 모두 동일한 구조를 가진다. 다만, 각각의 레지스트 도포 챔버(3410)에서 사용되는 포토 레지스트의 종류는 서로 상이할 수 있다. 일 예로서 포토 레지스트로는 화학 증폭형 레지스트(chemical amplification resist)가 사용될 수 있다. 레지스트 도포 챔버(3410)는 웨이퍼(W) 상에 포토 레지스트를 도포한다. 레지스트 도포 챔버(3410)는 하우징(3411), 지지 플레이트(3412), 그리고 노즐(3413)을 가진다. 하우징(3411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(3412)는 하우징(3411) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(3412)는 회전 가능하게 제공된다. 노즐(3413)은 지지 플레이트(3412)에 놓인 웨이퍼(W) 상으로 포토 레지스트를 공급한다. 노즐(3413)은 원형의 관 형상을 가지고, 웨이퍼(W)의 중심으로 포토 레지스트를 공급할 수 있다. 선택적으로 노즐(3413)은 웨이퍼(W)의 직경에 상응하는 길이를 가지고, 노즐(3413)의 토출구는 슬릿으로 제공될 수 있다. 또한, 추가적으로 레지스트 도포 챔버(3410)에는 포토 레지스트가 도포된 웨이퍼(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(3414)이 더 제공될 수 있다. The resist application chambers 3410 all have the same structure. However, the kind of photoresist used in each resist coating chamber 3410 may be different from each other. As an example, a chemical amplification resist may be used as the photoresist. The resist application chamber 3410 applies photoresist on the wafer (W). The resist application chamber 3410 has a housing 3411, a support plate 3412, and a nozzle 3413. The housing 3411 has a cup shape with an open top. The support plate 3412 is located in the housing 3411 and supports the wafer (W). The support plate 3412 is provided to be rotatable. The nozzle 3413 supplies photoresist onto the wafer W placed on the support plate 3412. The nozzle 3413 has a circular tubular shape, and can supply a photoresist to the center of the wafer (W). Optionally, the nozzle 3413 has a length corresponding to the diameter of the wafer W, and the discharge port of the nozzle 3413 may be provided as a slit. In addition, the resist coating chamber 3410 may further be provided with a nozzle 3414 for supplying a cleaning liquid such as deionized water to clean the surface of the wafer W on which the photoresist is applied.

베이크 챔버(3420)는 웨이퍼(W)를 열처리한다. 예컨대, 베이크 챔버(3420)들은 포토 레지스트를 도포하기 전에 웨이퍼(W)를 소정의 온도로 가열하여 웨이퍼(W) 표면의 유기물이나 수분을 제거하는 프리 베이크(prebake) 공정이나 포토레지스트를 웨이퍼(W) 상에 도포한 후에 행하는 소프트 베이크(soft bake) 공정 등을 수행 하고, 각각의 가열 공정 이후에 웨이퍼(W)를 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(3420)는 냉각 플레이트(3421) 또는 가열 플레이트(3422)를 가진다. 냉각 플레이트(3421)에는 냉각수 또는 열전 소자와 같은 냉각 수단(3423)이 제공된다. 또는 가열 플레이트(3422)에는 열선 또는 열전 소자와 같은 가열 수단(3424)이 제공된다. 가열 플레이트(3422)와 냉각 플레이트(3421)는 하나의 베이크 챔버(3420) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(3420)들 중 일부는 가열 플레이트(3422)만을 구비하고, 다른 일부는 냉각 플레이트(3421)만을 구비할 수 있다. The bake chamber 3420 heat-treats the wafer (W). For example, the bake chambers 3420 may use a prebake process or a photoresist that heats the wafer W to a predetermined temperature and removes organic matter or moisture from the surface of the wafer W before applying the photoresist. A soft bake process or the like performed after coating on the wafer), and a cooling step of cooling the wafer W after each heating step is performed. The bake chamber 3420 has a cooling plate 341 or a heating plate 3342. Cooling plate 341 is provided with cooling means 3423, such as cooling water or thermoelectric elements. Alternatively, the heating plate 3422 is provided with heating means 3424, such as a hot wire or a thermoelectric element. The heating plate 3422 and the cooling plate 341 may be provided in one bake chamber 3420, respectively. Optionally, some of the bake chambers 3420 may have only a heating plate 3422 and others may have only a cooling plate 3421.

제 2 모듈(3402)은 레지스트 도포 챔버(3460), 베이크 챔버(3470), 그리고 반송 챔버(3480)를 가진다. 레지스트 도포 챔버(3460), 베이크 챔버(3470), 그리고 반송 챔버(3480)는 제 1 모듈(3401)의 레지스트 도포 챔버(3410), 베이크 챔버(3420), 그리고 반송 챔버(3430)와 동일한 구조 및 배치를 가진다. 또한, 반송 챔버(3480)는 제 2 로봇(3482)을 가지며, 제 2 로봇(3482)은 제 1 모듈(3401)에 제공된 제 1 로봇(3432)과 동일한 구조를 가진다. 제 2 로봇(3482)은 레지스트 도포 챔버(3460), 베이크 챔버(3470), 버퍼 모듈(3300)의 제 2 버퍼(3330)와 제 2 냉각 챔버(3350), 그리고 후술하는 에지 노광 모듈(3500)의 제 2 버퍼(3530)와 제 2 냉각 챔버(3550) 간에 웨이퍼(W)를 운반하도록 제공된다.The second module 3402 has a resist application chamber 3460, a bake chamber 3470, and a transfer chamber 3480. The resist application chamber 3460, the bake chamber 3470, and the transfer chamber 3480 have the same structure as the resist application chamber 3410, the bake chamber 3420, and the transfer chamber 3430 of the first module 3401. Has a layout. In addition, the transfer chamber 3480 has a second robot 3402, and the second robot 3402 has the same structure as the first robot 3432 provided to the first module 3401. The second robot 3902 may include a resist coating chamber 3460, a baking chamber 3470, a second buffer 3330 and a second cooling chamber 3350 of the buffer module 3300, and an edge exposure module 3500 described later. A wafer W between the second buffer 3530 and the second cooling chamber 3550.

상술한 바와 같이 공정 모듈(3400)에서 제 1 모듈(3401)과 제 2 모듈(3402)은 서로 간에 분리되도록 제공된다. 또한, 상부에서 바라볼 때 제 1 모듈(3401)과 제 2 모듈(3402)은 동일한 구조 및 배치를 가질 수 있다. As described above, in the process module 3400, the first module 3401 and the second module 3402 are provided to be separated from each other. In addition, when viewed from the top, the first module 3401 and the second module 3402 may have the same structure and arrangement.

에지 노광 모듈(3500)은 웨이퍼(W)의 가장자리 영역을 노광하는 공정을 수행한다. 에지 노광 모듈(3500)은 프레임(3510), 제 1 버퍼(3520), 제 2 버퍼(3530),제 1 냉각 챔버(3540), 제 2 냉각 챔버(3550), 에지 노광 로봇(3560), 제 1 에지 노광 챔버(3570), 제 2 에지 노광 챔버(3580, 도 2에서 3570 아래에 배치됨)를 가진다. 프레임(3510)은 직육면체의 형상을 가진다. 에지 노광 로봇(3560), 제 1 버퍼(3520), 제 1 에지 노광 챔버(3570), 제 1 냉각 챔버(3540), 제 2 버퍼(3530), 제 2 에지 노광 챔버(3580), 그리고 제 2 냉각 챔버(3550)는 프레임(3510) 내에 위치된다. 제 1 버퍼(3520), 제 1 에지 노광 챔버(3570), 제 1 냉각 챔버(3540)는 제 1 모듈(3401)에 대응하는 높이에 배치된다. 제 2 버퍼(3530), 제 2 에지 노광 챔버(3580), 제 2 냉각 챔버(3550)는 제 2 모듈(3402)에 대응하는 높이에 배치된다. 제 1 버퍼(3520), 제 1 냉각 챔버(3540), 제 2 버퍼(3530), 제 2 냉각 챔버(3550)는 위에서부터 순차적으로 제 3 방향(16)을 따라 일렬로 배치된다. 상부에서 바라볼 때 제 1 버퍼(3520)는 제 1 모듈(3401)의 반송 챔버(3430)와 제 1 방향(12)을 따라 배치된다. 제 1 에지 노광 챔버(3570)는 제 1 버퍼(3520) 및 제 1 냉각 챔버(3540)와 제 2 방향(14)으로 일정 거리 이격되게 배치된다. 제 2 에지 노광 챔버(3580)는 제 2 버퍼(3530) 및 제 2 냉각 챔버(3550)와 제 2 방향(14)으로 일정 거리 이격되게 배치된다. 제 2 에지 노광 챔버(3580)와 제 1 에지 노광 챔버(3570)는 제 3 방향(16)을 따라 일렬로 배치된다. The edge exposure module 3500 performs a process of exposing the edge area of the wafer W. The edge exposure module 3500 includes a frame 3510, a first buffer 3520, a second buffer 3530, a first cooling chamber 3540, a second cooling chamber 3550, an edge exposure robot 3560, It has a first edge exposure chamber 3570, a second edge exposure chamber 3580 (located below 3570 in FIG. 2). Frame 3510 has a rectangular parallelepiped shape. Edge exposure robot 3560, first buffer 3520, first edge exposure chamber 3570, first cooling chamber 3540, second buffer 3530, second edge exposure chamber 3580, and second Cooling chamber 3550 is located within frame 3510. The first buffer 3520, the first edge exposure chamber 3570, and the first cooling chamber 3540 are disposed at a height corresponding to the first module 3401. The second buffer 3530, the second edge exposure chamber 3580, and the second cooling chamber 3550 are disposed at a height corresponding to the second module 3402. The first buffer 3520, the first cooling chamber 3540, the second buffer 3530, and the second cooling chamber 3550 are arranged in a line along the third direction 16 sequentially from above. As viewed from the top, the first buffer 3520 is disposed along the transfer chamber 3430 and the first direction 12 of the first module 3401. The first edge exposure chamber 3570 is disposed spaced apart from the first buffer 3520 and the first cooling chamber 3540 in a second distance 14. The second edge exposure chamber 3580 is disposed to be spaced apart from the second buffer 3530 and the second cooling chamber 3550 by a predetermined distance in the second direction 14. The second edge exposure chamber 3580 and the first edge exposure chamber 3570 are disposed in a line along the third direction 16.

에지 노광 로봇(3560)은 제 1 버퍼(3520), 제 1 에지 노광 챔버(3570), 제 1 냉각 챔버(3540), 제 2 버퍼(3530), 제 2 에지 노광 챔버(3580), 그리고 제 2 냉각 챔버(3550) 간에 웨이퍼(W)를 운반한다. 에지 노광 로봇(3560)은 제 1 에지 노광 챔버(3570)와 제 1 버퍼(3520) 사이에 위치된다. 에지 노광 로봇(3560)은 버퍼 로봇(3360)과 유사한 구조로 제공될 수 있다. The edge exposure robot 3560 includes a first buffer 3520, a first edge exposure chamber 3570, a first cooling chamber 3540, a second buffer 3530, a second edge exposure chamber 3580, and a second The wafer W is transported between the cooling chambers 3550. The edge exposure robot 3560 is located between the first edge exposure chamber 3570 and the first buffer 3520. The edge exposure robot 3560 may be provided in a structure similar to that of the buffer robot 3360.

제 1 버퍼(3520), 제 1 냉각 챔버(3540), 제 1 에지 노광 챔버(3570)는 제 1 모듈(3401)에서 공정이 수행된 웨이퍼들(W)에 대해 후속 공정을 수행한다. 제 1 버퍼(3520)과 제 2 버퍼(3560)은 각각 버퍼 모듈(3300)의 제 1 버퍼(3320)과 동일한 구조를 가진다. 제 1 냉각 챔버(3540)는 제 1 모듈(3401)에서 공정이 수행된 웨이퍼(W)를 냉각한다. 제 1 냉각 챔버(3540)는 버퍼 모듈(3300)의 제 1 냉각 챔버(3340)과 유사한 구조를 가진다. 제 1 에지 노광 챔버(3570)는 제 1 냉각 챔버(3540)에서 냉각 공정이 수행된 웨이퍼들(W)에 대해 그 가장자리를 노광한다. 제 1 버퍼(3520)는 제 1 에지 노광 챔버(3570)에서 에지 노광 공정이 수행된 웨이퍼들(W)이 다시 제 1 모듈(3401)로 운반되기 전에 웨이퍼들(W)을 일시적으로 보관한다. The first buffer 3520, the first cooling chamber 3540, and the first edge exposure chamber 3570 perform subsequent processes on the wafers W on which the process is performed in the first module 3401. The first buffer 3520 and the second buffer 3560 each have the same structure as the first buffer 3320 of the buffer module 3300. The first cooling chamber 3540 cools the wafer W on which the process is performed in the first module 3401. The first cooling chamber 3540 has a structure similar to the first cooling chamber 3340 of the buffer module 3300. The first edge exposure chamber 3570 exposes an edge thereof to the wafers W on which the cooling process is performed in the first cooling chamber 3540. The first buffer 3520 temporarily stores the wafers W before the wafers W subjected to the edge exposure process in the first edge exposure chamber 3570 are transported back to the first module 3401.

제 2 버퍼(3530), 제 2 냉각 챔버(3550), 제 2 에지 노광 챔버(3580)는 제 2 모듈(3402)에서 공정이 수행된 웨이퍼들(W)에 대해 후속 공정을 수행한다. 제 2 냉각 챔버(3550)는 제 2 모듈(3402)에서 공정이 수행된 웨이퍼(W)를 냉각한다. 제 2 냉각 챔버(3550)은 버퍼 모듈(3300)의 제 2 냉각 챔버(3350)과 유사한 구조를 가진다. 제 2 에지 노광 챔버(3580)는 제 2 냉각 챔버(3550)에서 냉각 공정이 수행된 웨이퍼들(W)에 대해 그 가장자리를 노광한다. 제 2 버퍼(3530)는 제 2 에지 노광 챔버(3580)에서 에지 노광 공정이 수행된 웨이퍼들(W)이 다시 제 2 모듈(3402)로 운반되기 전에 웨이퍼들(W)을 일시적으로 보관한다. The second buffer 3530, the second cooling chamber 3550, and the second edge exposure chamber 3580 perform subsequent processes on the wafers W processed in the second module 3402. The second cooling chamber 3550 cools the wafer W on which the process is performed in the second module 3402. The second cooling chamber 3550 has a structure similar to the second cooling chamber 3350 of the buffer module 3300. The second edge exposure chamber 3580 exposes an edge thereof to the wafers W on which the cooling process is performed in the second cooling chamber 3550. The second buffer 3530 temporarily stores the wafers W before the wafers W subjected to the edge exposure process in the second edge exposure chamber 3580 are transported back to the second module 3402.

다음에는 도 5a와 도 5b를 참조하여, 도 2의 도포 유닛(3000)을 이용하여 공정을 수행하는 일 예를 설명한다. 도 5a와 도 5b는 도포 유닛(3000)에서 웨이퍼(W)에 대해 공정이 수행되는 일 예를 보여주는 플로우 차트이다. Next, an example of performing a process using the coating unit 3000 of FIG. 2 will be described with reference to FIGS. 5A and 5B. 5A and 5B are flowcharts illustrating an example in which a process is performed on the wafer W in the coating unit 3000.

웨이퍼들(W)이 수납된 용기(2000)는 로드 포트(3100)의 재치대(3120)에 놓인다(스텝 S112). 도어 오프너에 의해 용기(2000)의 도어가 개방된다. 인덱스 로봇(3220)은 용기(2000)로부터 웨이퍼(W)를 꺼내어 제 2 버퍼(3330)로 운반한다(스텝 S112). 웨이퍼(W)는 제 1 모듈(3401)과 제 2 모듈(3402) 중 선택된 곳으로 이동된다. The container 2000 in which the wafers W are accommodated is placed on the mounting table 3120 of the load port 3100 (step S112). The door of the container 2000 is opened by the door opener. The index robot 3220 removes the wafer W from the container 2000 and transfers it to the second buffer 3330 (step S112). The wafer W is moved to a selected place of the first module 3401 and the second module 3402.

웨이퍼(W)가 제 1 모듈(3401)에서 공정이 수행되도록 선택된 경우, 버퍼 로봇(3360)은 제 2 버퍼(3330)에 보관된 웨이퍼(W)를 제 1 버퍼(3320)로 운반한다(스텝 S120). 제 1 로봇(3432)은 제 1 버퍼(3320)로부터 웨이퍼(W)를 꺼내어 베이크 챔버(3420)로 운반한다(스텝 S122). 베이크 챔버(3420)는 프리 베이크 및 냉각 공정을 순차적으로 수행한다(스텝 S124). 제 1 로봇(3432)은 베이크 챔버(3420)로부터 웨이퍼(W)를 꺼내어 레지스트 도포 챔버(3410)로 운반한다(스텝 S126). 레지스트 도포 챔버(3410)는 웨이퍼(W) 상에 포토 레지스트를 도포한다(스텝 S128). 이후 제 1 로봇(3432)은 웨이퍼(W)를 레지스트 도포 챔버(3410)로부터 베이크 챔버(3420)로 운반한다(스텝 S130). 베이크 챔버(3420)는 웨이퍼(W)에 대해 소프트 베이크 공정을 수행한다(스텝 S132). When the wafer W is selected to be processed in the first module 3401, the buffer robot 3360 transfers the wafer W stored in the second buffer 3330 to the first buffer 3320 (step). S120). The first robot 3432 takes the wafer W out of the first buffer 3320 and transports it to the bake chamber 3420 (step S122). The bake chamber 3420 performs a prebaking and cooling process sequentially (step S124). The first robot 3432 removes the wafer W from the bake chamber 3420 and transfers it to the resist coating chamber 3410 (step S126). The resist coating chamber 3410 applies photoresist on the wafer W (step S128). After that, the first robot 3432 transfers the wafer W from the resist coating chamber 3410 to the baking chamber 3420 (step S130). The baking chamber 3420 performs a soft bake process on the wafer W (step S132).

제 1 로봇(3432)은 베이크 챔버(3420)에서 웨이퍼(W)를 꺼내어 에지 노광 모 듈(3500)의 제 1 냉각 챔버(3540)로 운반한다(스텝 S134). 제 1 냉각 챔버(3540)에서 웨이퍼(W)에 대해 냉각 공정이 수행된다(스텝 S136). 선택적으로 냉각 공정은 베이크 챔버(3420)에서 수행되고, 웨이퍼(W)는 베이크 챔버(3420)에서 제 1 버퍼(3520)로 직접 운반될 수 있다. 제 1 냉각 챔버(3540)에서 공정이 수행된 웨이퍼(W)는 에지 노광 로봇(3560)에 의해 제 1 에지 노광 챔버(3570)로 운반된다(스텝 S138). 선택적으로 제 1 냉각 챔버(3540)에서 공정이 수행된 웨이퍼(W)는 에지 노광 로봇(3560)에 의해 제 1 버퍼(3520)로 운반되어 일시적으로 보관된 후, 에지 노광 로봇(3560)에 의해 제 1 에지 노광 챔버(3570)로 운반될 수 있다. 제1 에지 노광 챔버는 웨이퍼(W)의 가장자리 영역을 노광하는 공정을 수행한다(스텝 S140). 제 1 에지 노광 챔버(3570)에서 공정이 완료된 웨이퍼(W)는 에지 노광 로봇(3560)에 의해 제 1 버퍼(3520)로 운반된다(스텝 S142). The first robot 3432 removes the wafer W from the bake chamber 3420 and transfers the wafer W to the first cooling chamber 3540 of the edge exposure module 3500 (step S134). In the first cooling chamber 3540, a cooling process is performed on the wafer W (step S136). Optionally, a cooling process may be performed in the bake chamber 3420, and the wafer W may be transferred directly from the bake chamber 3420 to the first buffer 3520. The wafer W on which the process is performed in the first cooling chamber 3540 is transferred to the first edge exposure chamber 3570 by the edge exposure robot 3560 (step S138). The wafer W, which is optionally processed in the first cooling chamber 3540, is transferred to the first buffer 3520 by the edge exposure robot 3560 and temporarily stored therein, and then is edged by the edge exposure robot 3560. It may be conveyed to the first edge exposure chamber 3570. The first edge exposure chamber performs a process of exposing the edge region of the wafer W (step S140). The wafer W in which the process is completed in the first edge exposure chamber 3570 is transferred to the first buffer 3520 by the edge exposure robot 3560 (step S142).

제 1 로봇(3432)은 웨이퍼(W)를 제 1 버퍼(3520)로부터 베이크 챔버(3420)로 운반한다(스텝 S144). 베이크 챔버(3420)는 웨이퍼(W)를 가열하는 공정을 수행된다(스텝 S146). 제 1 로봇(3432)은 베이크 챔버(3420)로부터 웨이퍼(W)를 버퍼 모듈(3300)의 제 1 냉각 챔버(3340)로 운반한다(스텝 S148). 제 1 냉각 챔버(3340)는 웨이퍼(W)를 냉각하는 공정을 수행한다(스텝 S150). 인덱스 로봇(3220)은 제 1 냉각 챔버(3340)로부터 웨이퍼(W)를 용기(2000)로 운반한다(스텝 S152). The first robot 3432 transports the wafer W from the first buffer 3520 to the bake chamber 3420 (step S144). The baking chamber 3420 performs a process of heating the wafer W (step S146). The first robot 3432 transfers the wafer W from the bake chamber 3420 to the first cooling chamber 3340 of the buffer module 3300 (step S148). The first cooling chamber 3340 performs a process of cooling the wafer W (step S150). The index robot 3220 carries the wafer W from the first cooling chamber 3340 to the container 2000 (step S152).

웨이퍼(W)가 제 2 모듈(3402)에서 공정이 수행되도록 선택된 경우, 제 2 로봇(3482)은 제 2 버퍼(3330)로부터 웨이퍼(W)를 꺼내어 제 2 모듈(3402)의 베이크 챔버(3470)로 운반한다(스텝 S160). 베이크 챔버(3470)는 프리 베이크 및 냉각 공 정을 순차적으로 수행한다(스텝 S162). 제 2 로봇(3482)은 베이크 챔버(3470)로부터 웨이퍼(W)를 꺼내어 레지스트 도포 챔버(3460)로 운반한다(스텝 S164). 레지스트 도포 챔버(3460)는 웨이퍼(W) 상에 포토 레지스트를 도포한다(스텝 S166). 이후 제 2 로봇(3482)은 웨이퍼(W)를 레지스트 도포 챔버(3460)로부터 베이크 챔버(3470)로 운반한다(스텝 S168). 베이크 챔버(3470)는 웨이퍼(W)에 대해 소프트 베이크 공정을 수행한다(스텝 S170). When the wafer W is selected to perform the process in the second module 3402, the second robot 3342 takes the wafer W out of the second buffer 3330 and bakes chamber 3470 of the second module 3402. (Step S160). The bake chamber 3470 sequentially performs the prebaking and cooling process (step S162). The second robot 3348 takes the wafer W out of the bake chamber 3470 and transports it to the resist coating chamber 3460 (step S164). The resist coating chamber 3460 applies photoresist on the wafer W (step S166). Thereafter, the second robot 3402 transfers the wafer W from the resist coating chamber 3460 to the baking chamber 3470 (step S168). The bake chamber 3470 performs a soft bake process on the wafer W (step S170).

제 2 로봇(3482)은 베이크 챔버(3470)에서 웨이퍼(W)를 꺼내어 에지 노광 모듈(3500)의 제 2 냉각 챔버(3550)로 운반한다(스텝 S172). 제 2 냉각 챔버(3550)에서 웨이퍼(W)에 대해 냉각 공정이 수행된다. 선택적으로 냉각 공정은 베이크 챔버(3470)에서 수행되고, 웨이퍼(W)는 베이크 챔버(3470)에서 제 2 버퍼(3530)로 직접 운반될 수 있다. 제 2 냉각 챔버(3550)에서 공정이 수행된 웨이퍼(W)는 에지 노광 로봇(3560)에 의해 제 2 에지 노광 챔버(3580)로 운반된다(스텝 S174). 선택적으로 제 2 냉각 챔버(3550)에서 공정이 수행된 웨이퍼(W)는 에지 노광 로봇(3560)에 의해 제 2 버퍼(3530)로 운반되어 일시적으로 보관된 후, 에지 노광 로봇(3560)에 의해 제 2 에지 노광 챔버(3580)로 운반될 수 있다(스텝 S176). 제 2 에지 노광 챔버(3580)는 웨이퍼(W)의 가장자리를 노광하는 공정을 수행한다(스텝 S178). 제 2 에지 노광 챔버(3580)에서 공정이 완료된 웨이퍼(W)는 에지 노광 로봇(3560)에 의해 제 2 버퍼(3530)로 운반된다(스텝 S180). The second robot 3348 takes the wafer W out of the bake chamber 3470 and transports it to the second cooling chamber 3550 of the edge exposure module 3500 (step S172). The cooling process is performed on the wafer W in the second cooling chamber 3550. Optionally, a cooling process may be performed in the bake chamber 3470 and the wafer W may be transferred directly from the bake chamber 3470 to the second buffer 3530. The wafer W on which the process is performed in the second cooling chamber 3550 is transferred to the second edge exposure chamber 3580 by the edge exposure robot 3560 (step S174). The wafer W, which is optionally processed in the second cooling chamber 3550, is transferred to the second buffer 3530 by the edge exposure robot 3560 and temporarily stored therein, and then is edged by the edge exposure robot 3560. It can be conveyed to the 2nd edge exposure chamber 3580 (step S176). The second edge exposure chamber 3580 performs a process of exposing the edge of the wafer W (step S178). The wafer W on which the process is completed in the second edge exposure chamber 3580 is transferred to the second buffer 3530 by the edge exposure robot 3560 (step S180).

제 2 로봇(3482)은 웨이퍼(W)를 제 2 버퍼(3530)로부터 베이크 챔버(3470)로 운반한다(스텝 S182). 베이크 챔버(3470)는 웨이퍼(W)를 가열하는 공정을 수행한 다(스텝 S184). 제 2 로봇(3482)은 베이크 챔버(3470)로부터 웨이퍼(W)를 버퍼 모듈(3300)의 제 2 냉각 챔버(3350)로 운반한다(스텝 S186). 제 2 냉각 챔버(3350)는 웨이퍼(W)를 냉각하는 공정을 수행한다(스텝 S188). 인덱스 로봇(3220)은 제 2 냉각 챔버(3350)로부터 웨이퍼(W)를 용기(2000)로 운반한다(스텝 S190). The second robot 3348 transfers the wafer W from the second buffer 3530 to the bake chamber 3470 (step S182). The baking chamber 3470 performs a process of heating the wafer W (step S184). The second robot 3742 transfers the wafer W from the bake chamber 3470 to the second cooling chamber 3350 of the buffer module 3300 (step S186). The second cooling chamber 3350 performs a process of cooling the wafer W (step S188). The index robot 3220 transports the wafer W from the second cooling chamber 3350 to the container 2000 (step S190).

다음에는 상술한 도포 유닛(3000)의 다양한 변형 예들을 예시한다.Next, various modifications of the above-described coating unit 3000 are illustrated.

공정 모듈(3400)은 서로 층으로 구획된 제 1 모듈(3401)과 제 2 모듈(3402) 대신 하나의 모듈만을 구비할 수 있다. The process module 3400 may include only one module instead of the first module 3401 and the second module 3402 divided into layers.

또한, 인덱스 모듈(3200)에는 제 1 냉각 챔버(3340) 및 제 2 냉각 챔버(3350) 각각이 복수개가 서로 적층되도록 배치될 수 있다. 또한, 에지 노광 모듈(3500)에는 제 1 냉각 챔버(3540) 및 제 1 에지 노광 챔버(3570) 각각이 복수개 제공되고, 제 2 냉각 챔버(3550) 및 제 2 에지 노광 챔버(3580) 각각이 복수 개 제공될 수 있다. In addition, the index module 3200 may be arranged such that each of the first cooling chamber 3340 and the second cooling chamber 3350 is stacked with each other. In addition, the edge exposure module 3500 is provided with a plurality of first cooling chambers 3540 and a first edge exposure chamber 3570, respectively, and a plurality of second cooling chambers 3550 and second edge exposure chambers 3580 are provided. Can be provided.

또한, 버퍼 모듈(3300)에는 제 1 냉각 챔버(3340) 및 제 2 냉각 챔버(3350)가 제공되지 않을 수도 있다. 이 경우, 웨이퍼(W)는 제 1 모듈(3401)로부터 제 1 로봇(3432)에 의해 직접 제 1 버퍼(3320)로 이송되고, 인덱스 로봇(3220)은 제 1 버퍼(3320)에 보관된 웨이퍼들(W)을 용기(2000)로 운반할 수 있다. 또한, 웨이퍼(W)는 제 2 모듈(3402)로부터 제 2 로봇(3482)에 의해 직접 제 2 버퍼(3330)로 이송되고, 인덱스 로봇(3220)은 제 2 버퍼(3330)에 보관된 웨이퍼들(W)을 용기(2000)로 운반할 수 있다. In addition, the first cooling chamber 3340 and the second cooling chamber 3350 may not be provided in the buffer module 3300. In this case, the wafer W is transferred from the first module 3401 directly to the first buffer 3320 by the first robot 3432, and the index robot 3220 is stored in the first buffer 3320. Field W may be transported into vessel 2000. In addition, the wafer W is transferred from the second module 3402 directly to the second buffer 3330 by the second robot 3402, and the index robot 3220 is stored in the second buffer 3330. (W) can be conveyed to the vessel 2000.

또한, 버퍼 모듈(3300)에서 제 1 버퍼(3320)와 제 1 냉각 챔버(3340)는 그 위치가 서로 변경될 수 있고, 제 2 버퍼(3330)와 제 2 냉각 챔버(3350)는 그 위치가 서로 변경될 수 있다. Also, in the buffer module 3300, the positions of the first buffer 3320 and the first cooling chamber 3340 may be changed from each other, and the positions of the second buffer 3330 and the second cooling chamber 3350 may be different. Can be changed from each other.

또한, 버퍼 모듈(3300)은 그 높이가 공정 모듈(3400)과 동일하게 제공될 수 있다. 이 경우, 선택적으로 인덱스 로봇(3220)은 제 1 버퍼(3320)에 직접 웨이퍼들(W)을 운반할 수 있다. In addition, the buffer module 3300 may be provided at the same height as the process module 3400. In this case, the index robot 3220 may optionally carry the wafers W directly to the first buffer 3320.

또한, 에지 노광 모듈(3500)에는 제 1 냉각 챔버(3540) 및 제 2 냉각 챔버(3550)가 제공되지 않을 수 있다. 이 경우, 제 1 모듈(3401)에서 공정이 완료된 웨이퍼(W)는 제 1 로봇(3432)에 의해 직접 제 1 버퍼(3520)로 이송된다. 또한, 제 2 모듈(3402)에서 공정이 완료된 웨이퍼(W)는 제 2 로봇(3482)에 의해 직접 제 2 버퍼(3530)로 이송된다. In addition, the edge exposing module 3500 may not be provided with the first cooling chamber 3540 and the second cooling chamber 3550. In this case, the wafer W on which the process is completed in the first module 3401 is directly transferred to the first buffer 3520 by the first robot 3432. In addition, the wafer W on which the process is completed in the second module 3402 is directly transferred to the second buffer 3530 by the second robot 3402.

또한, 에지 노광 모듈(3500)에서 제 1 냉각 챔버(3540)와 제 1 버퍼(3520)는 그 위치가 서로 변경될 수 있고, 제 2 냉각 챔버(3550)와 제 2 버퍼(3530)는 그 위치가 서로 변경될 수 있다. In addition, in the edge exposure module 3500, the positions of the first cooling chamber 3540 and the first buffer 3520 may be changed from each other, and the positions of the second cooling chamber 3550 and the second buffer 3530 may be changed. Can be changed from each other.

또한, 에지 노광 모듈(3500)은 하나의 에지 노광 로봇(3560) 대신 제 1 에지 노광 챔버(3570), 제 1 버퍼(3520), 제 1 냉각 챔버(3540) 간에 웨이퍼(W)를 운반하는 상부 로봇(도시되지 않음)과 제 2 에지 노광 챔버(3580), 제 2 버퍼(3530), 제 2 냉각 챔버(3550) 간에 웨이퍼(W)를 운반하는 하부 로봇(도시되지 않음)을 각각 구비할 수 있다.In addition, the edge exposure module 3500 transfers the wafer W between the first edge exposure chamber 3570, the first buffer 3520, and the first cooling chamber 3540 instead of one edge exposure robot 3560. A lower robot (not shown) may be provided to transport the wafer W between the robot (not shown), the second edge exposure chamber 3580, the second buffer 3530, and the second cooling chamber 3550. have.

또한, 공정 모듈(3400)에서는 상술한 공정들 이외에 또 다른 공정을 수행하는 챔버가 제공될 수 있다.In addition, the process module 3400 may be provided with a chamber that performs another process in addition to the above-described processes.

(노광 전후 처리 유닛)(Exposure before and after processing unit)

도 6 내지 도 8은 노광 전후 처리 유닛(4000)의 구조를 개략적으로 보여주는 도면들이다. 도 6은 노광 전후 처리 유닛(4000)을 상부에서 바라본 도면이고, 도 7은 도 6의 유닛을 'C' 방향을 따라 바라본 도면이고, 도 8은 도 6의 유닛을 'D' 방향을 따라 바라본 도면이다.6 to 8 are diagrams schematically showing the structure of the pre- and post-exposure processing unit 4000. FIG. 6 is a view of the pre-exposure before and after processing unit 4000, FIG. 7 is a view of the unit of FIG. 6 along the direction 'C', and FIG. 8 is a view of the unit of FIG. 6 along the direction 'D'. Drawing.

도 6 내지 도 8을 참조하면, 노광 전후 처리 유닛(4000)은 로드 포트(4100), 인덱스 모듈(4200), 버퍼 모듈(4300), 공정 모듈(4400), 그리고 인터페이스 모듈(4500)을 가진다. 노광 전후 처리 유닛(4000)은 노광 장치(9000)와 인라인으로 결합된다. 노광 장치(9000)는 제 1 방향(12)을 따라 인터페이스 모듈(4500)에 결합된다. 일 예에 의하면, 노광 장치(9000)는 액침 노광 기술을 이용한 공정을 수행한다. 또한, 노광 장치(9000)는 불화크립톤 엑시머 레이저(KrF excimer laser) 또는 불화아르곤 엑시머 레이저(ArF excimer laser)와 같은 원적외선 광원을 이용하여 노광 공정을 수행한다.6 to 8, the pre-exposure processing unit 4000 includes a load port 4100, an index module 4200, a buffer module 4300, a process module 4400, and an interface module 4500. The pre-exposure before and after processing unit 4000 is coupled in-line with the exposure apparatus 9000. The exposure apparatus 9000 is coupled to the interface module 4500 along the first direction 12. According to an example, the exposure apparatus 9000 performs a process using an immersion exposure technique. In addition, the exposure apparatus 9000 performs an exposure process using a far-infrared light source, such as a KrF fluoride excimer laser or an ArF excimer laser.

로드 포트(4100)는 웨이퍼(W)들이 수납된 용기(2000)가 놓이는 재치대(4120)를 가진다. 재치대(4120)는 복수개가 제공되며, 재치대들(4120)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 6에서는 4개의 재치대(4120)가 제공되었다. The load port 4100 has a mounting base 4120 on which a container 2000 in which wafers W are accommodated is placed. The mounting base 4120 may be provided in plural, and the mounting bases 4120 may be arranged in a line along the second direction 14. In FIG. 6 four mounting blocks 4120 were provided.

인덱스 모듈(4200)은 로드 포트(4100)의 재치대(4120)에 놓인 용기(2000)와 버퍼 모듈(4300) 간에 웨이퍼(W)를 이송한다. 인덱스 모듈(4200)은 프레임(4210), 인덱스 로봇(4220), 그리고 가이드 레일(4230)을 가진다. 프레임(4210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(4100)와 버퍼 모듈(4300) 사이에 배치된다. 프레임(4210)은 후술하는 버퍼 모듈(4300)의 프레임(4310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(4220)과 가이드 레일(4230)은 프레임(4210) 내에 배치된다. 인덱스 로봇(4220)은 웨이퍼(W)를 직접 핸들링하는 핸드가(4221) 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고, 수평면 상에서 회전될 수 있도록 4축 구동이 가능한 구조를 가진다. 인덱스 로봇(4220)은 핸드(4221), 아암(4222), 지지대(4223), 그리고 받침대(4224)를 가진다. 핸드(4221)는 아암(4222)에 고정 설치된다. 아암(4222)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 지지대(4223)은 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(4222)은 지지대(4223)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(4223)에 결합된다. 가이드 레일(4230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 받침대(4224)는 가이드 레일(4230)을 따라 직선 이동 가능하도록 가이드 레일(4230)에 결합된다. 프레임(4310)에는 용기(2000)의 도어를 개폐하는 도어 오프너(도시되지 않음)가 더 제공된다.The index module 4200 transfers the wafer W between the container 2000 and the buffer module 4300 placed on the mounting table 4120 of the load port 4100. The index module 4200 has a frame 4210, an index robot 4220, and a guide rail 4230. The frame 4210 is generally provided in the shape of an empty cuboid and is disposed between the load port 4100 and the buffer module 4300. The frame 4210 may be provided at a height lower than that of the frame 4310 of the buffer module 4300, which will be described later. The index robot 4220 and the guide rail 4230 are disposed in the frame 4210. The index robot 4220 allows the hand that directly handles the wafer W to be movable in the first direction 12, the second direction 14, and the third direction 16, 4221, and rotate on a horizontal plane. It has a structure capable of 4-axis driving. The index robot 4220 has a hand 4221, an arm 4202, a support 4223, and a pedestal 4224. The hand 4221 is fixed to the arm 4202. Arm 4202 is provided in a stretchable and rotatable structure. The support 4223 is provided such that its longitudinal direction is disposed along the third direction 16. Arm 4222 is coupled to support 4223 so as to be linearly movable in third direction 16 along support 4223. The guide rail 4230 is provided such that its longitudinal direction is disposed along the second direction 14. The pedestal 4224 is coupled to the guide rail 4230 so as to be linearly movable along the guide rail 4230. The frame 4310 is further provided with a door opener (not shown) for opening and closing the door of the container 2000.

버퍼 모듈(4300)은 프레임(4310), 제 1 버퍼(4320), 제 2 버퍼(4330), 냉각 챔버(4340), 그리고 버퍼 로봇(4350)을 가진다. 프레임(4310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(4200)과 공정 모듈(4400) 사이에 배치된다. 제 1 버퍼(4320), 제 2 버퍼(4330), 냉각 챔버(4340), 그리고 버퍼 로봇(4350)은 프레임(4310) 내에 위치된다. 제 2 버퍼(4330), 냉각 챔버(4340), 그리고 제 1 버퍼(4320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 버 퍼(4320)는 후술하는 공정 모듈(4400)의 제 1 모듈(4401)과 대응되는 높이에 위치되고, 제 2 버퍼(4330)와 냉각 챔버(4340)는 후술하는 공정 모듈(4400)의 제 2 모듈(4402)과 대응되는 높이에 위치된다. 버퍼 로봇(4350)은 제 2 버퍼(4330), 냉각 챔버(4340), 그리고 제 1 버퍼(4320)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다. The buffer module 4300 has a frame 4310, a first buffer 4320, a second buffer 4330, a cooling chamber 4340, and a buffer robot 4350. The frame 4310 is provided in the shape of an empty rectangular parallelepiped, and is disposed between the index module 4200 and the process module 4400. The first buffer 4320, the second buffer 4330, the cooling chamber 4340, and the buffer robot 4350 are positioned in the frame 4310. The second buffer 4330, the cooling chamber 4340, and the first buffer 4320 are sequentially disposed along the third direction 16 from below. The first buffer 4320 is positioned at a height corresponding to the first module 4401 of the process module 4400, which will be described later, and the second buffer 4330 and the cooling chamber 4340 are the process module 4400, which will be described later. It is positioned at a height corresponding to the second module 4402 of the. The buffer robot 4350 is positioned to be spaced a predetermined distance from the second buffer 4330, the cooling chamber 4340, and the first buffer 4320 in the second direction 14.

제 2 버퍼(4330)와 제 1 버퍼(4320)는 각각 복수의 웨이퍼(W)들을 일시적으로 보관한다. 제 2 버퍼(4330)는 하우징(4331)과 복수의 지지대들(4332)을 가진다. 지지대들(4332)은 하우징(4331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(4332)에는 하나의 웨이퍼(W)가 놓인다. 하우징(4331)은 인덱스 로봇(4220) 및 버퍼 로봇(4350)이 하우징(4331) 내로 지지대(4332)에 웨이퍼(W)를 반입 또는 반출할 수 있도록 인덱스 로봇(4220)이 제공된 방향 및 버퍼 로봇(4350)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(4320)는 제 2 버퍼(4330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(4320)의 하우징에는 버퍼 로봇(4350)이 제공된 방향 및 후술하는 제 1 모듈(4401)에 위치된 제 1 로봇(4432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(4320)에 제공된 지지대(4322)의 수와 제 2 버퍼(4330)에 제공된 지지대(4332)의 수는 동일하거나 상이할 수 있다. The second buffer 4330 and the first buffer 4320 temporarily store the plurality of wafers W, respectively. The second buffer 4330 has a housing 4331 and a plurality of supports 4332. The supports 4332 are disposed in the housing 4331 and are spaced apart from each other along the third direction 16. One wafer W is placed on each support 4332. The housing 4331 is a direction and buffer robot provided with the index robot 4220 so that the index robot 4220 and the buffer robot 4350 may carry or unload the wafer W into the support 4332 into the housing 4331. 4350 has an opening (not shown) in the direction provided. The first buffer 4320 has a structure generally similar to that of the second buffer 4330. However, the housing of the first buffer 4320 has an opening in the direction in which the buffer robot 4350 is provided and in the direction in which the first robot 4432 located in the first module 4401 described later is provided. The number of supports 4322 provided in the first buffer 4320 and the number of supports 4332 provided in the second buffer 4330 may be the same or different.

버퍼 로봇(4350)은 제 2 버퍼(4330)와 제 1 버퍼(4320) 간에 웨이퍼(W)를 이송시킨다. 버퍼 로봇(4350)은 핸드(4361), 아암(4362), 그리고 지지대(4363)를 가진다. 핸드(4361)는 아암(4362)에 고정 설치된다. 아암(4362)은 신축 가능한 구조 로 제공되어, 핸드(4361)가 수평 방향으로 이동 가능하도록 한다. 아암(4361)은 지지대(4363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(4363)에 결합된다. 지지대(4363)는 제 2 버퍼(4330)에 대응되는 위치부터 제 1 버퍼(4320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(4363)는 이보다 위 또는 아래 방향으로 더 길게 제공될 수 있다. 버퍼 로봇(4350)은 단순히 핸드(4361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The buffer robot 4350 transfers the wafer W between the second buffer 4330 and the first buffer 4320. The buffer robot 4350 has a hand 4361, an arm 4362, and a support 4363. The hand 4361 is fixed to the arm 4362. Arm 4362 is provided in a flexible structure, allowing the hand 4361 to move in the horizontal direction. Arm 4361 is coupled to support 4363 so as to be linearly movable in third direction 16 along support 4363. The support 4363 has a length extending from a position corresponding to the second buffer 4330 to a position corresponding to the first buffer 4320. The support 4363 may be provided longer in the up or down direction than this. The buffer robot 4350 may simply be provided such that the hand 4361 is only biaxially driven along the second direction 14 and the third direction 16.

냉각 챔버(4340)는 웨이퍼(W)를 냉각한다. 냉각 챔버(4340)는 하우징(4341)과 냉각 플레이트(4342)를 가진다. 냉각 플레이트(4342)는 웨이퍼(W)가 놓이는 상면 및 웨이퍼(W)를 냉각하는 냉각 수단(4343)을 가진다. 냉각 수단(4343)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(4340)에는 웨이퍼(W)를 냉각 플레이트(4342) 상에 위치시키는 리프트 핀 어셈블리(도시되지 않음)가 제공될 수 있다. 하우징(4341)은 인덱스 로봇(4220) 및 후술하는 제 2 모듈(4402)에 제공된 제 2 로봇(4482)이 냉각 플레이트(4342)에 웨이퍼(W)를 반입 또는 반출할 수 있도록 인덱스 로봇(4220)이 제공된 방향 및 버퍼 로봇(4350)이 제공된 방향에 개구를 가진다. 또한, 냉각 챔버(4340)에는 상술한 개구를 개폐하는 도어들(도시되지 않음)이 제공될 수 있다.The cooling chamber 4340 cools the wafer (W). The cooling chamber 4340 has a housing 4431 and a cooling plate 4432. The cooling plate 4432 has an upper surface on which the wafer W is placed and cooling means 4435 for cooling the wafer W. As shown in FIG. As the cooling means 4435, various methods such as cooling by cooling water or cooling using a thermoelectric element may be used. In addition, the cooling chamber 4340 may be provided with a lift pin assembly (not shown) that positions the wafer W on the cooling plate 4432. The housing 4431 may be an index robot 4220 so that the index robot 4220 and the second robot 4442 provided in the second module 4402 to be described later can load or unload the wafer W to the cooling plate 4432. This provided direction and the buffer robot 4350 has an opening in the provided direction. In addition, the cooling chamber 4340 may be provided with doors (not shown) for opening and closing the above-described opening.

공정 모듈(4400)은 제 1 모듈(4401)과 제 2 모듈(4402)을 가진다. 제 1 모듈(4401)은 노광 공정 수행 전에 웨이퍼(W)를 처리하는 공정을 수행하고, 제 2 모듈(4402)은 노광 공정 이후에 웨이퍼(W)를 처리하는 공정을 수행한다. 제 1 모듈(4401)과 제 2 모듈(4402)은 서로 간에 층으로 구획되도록 배치된다. 일 예에 의 하면, 제 1 모듈(4401)은 제 2 모듈(4402)의 상부에 위치된다. 제 1 모듈(4401)은 보호막 도포 챔버(4410), 베이크 챔버(4420), 그리고 반송 챔버(4430)를 가진다. 보호막 도포 챔버(4410), 반송 챔버(4430), 그리고 베이크 챔버(4420)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 보호막 도포 챔버(4410)와 베이크 챔버(4420)는 반송 챔버(4430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 보호막 도포 챔버(4410)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 보호막 도포 챔버(4410)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 베이크 챔버(4420)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치된다. 선택적으로 베이크 챔버(4420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The process module 4400 has a first module 4401 and a second module 4402. The first module 4401 performs a process of processing the wafer W before performing the exposure process, and the second module 4402 performs a process of processing the wafer W after the exposure process. The first module 4401 and the second module 4402 are arranged to partition each other into layers. In one example, the first module 4401 is located on top of the second module 4402. The first module 4401 has a protective film applying chamber 4410, a bake chamber 4420, and a transfer chamber 4430. The protective film applying chamber 4410, the transfer chamber 4430, and the bake chamber 4420 are sequentially disposed along the second direction 14. Therefore, the protective film applying chamber 4410 and the baking chamber 4420 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 4430 interposed therebetween. A plurality of protective film applying chambers 4410 may be provided and disposed along the third direction 16 to layer each other. Optionally, a plurality of protective film applying chambers 4410 may be provided in the first direction 12 and the third direction 16, respectively. A plurality of bake chambers 4420 may be provided and disposed along the third direction 16 to layer each other. Optionally, a plurality of baking chambers 4420 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(4430)는 버퍼 모듈(4300)의 제 1 버퍼(4320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(4430) 내에는 제 1 로봇(4432)이 위치된다. 반송 챔버(4430)는 대체로 정사각 또는 직사각의 형상을 가진다. 제 1 로봇(4432)은 베이크 챔버들(4420), 보호막 도포 챔버들(4410), 버퍼 모듈(4300)의 제 1 버퍼(4320), 그리고 후술하는 인터페이스 모듈(4500)의 제 1 버퍼(4520) 간에 웨이퍼(W)를 이송한다. 제 1 로봇(4432)은 핸드(4433), 아암(4434), 그리고 지지대(4435)를 가진다. 핸드(4433)는 아암(4434)에 고정 설치된다. 아암(4434)은 신축 가능한 구조 및 회전 가능한 구조로 제공된다. 아암(4434)은 지지대(4435)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(4435)에 결합된다. The transfer chamber 4430 is positioned side by side in the first direction 12 with the first buffer 4320 of the buffer module 4300. The first robot 4432 is located in the transfer chamber 4430. The transfer chamber 4430 has a generally square or rectangular shape. The first robot 4432 may include baking chambers 4420, protective coating chambers 4410, a first buffer 4320 of the buffer module 4300, and a first buffer 4520 of the interface module 4500 described later. The wafer W is transferred to the liver. The first robot 4432 has a hand 4435, an arm 4434, and a support 4435. The hand 4435 is fixed to the arm 4434. Arm 4344 is provided in a stretchable and rotatable structure. The arm 4434 is coupled to the support 4435 so as to be linearly movable in the third direction 16 along the support 4435.

보호막 도포 챔버(4410)는 액침 노광 시에 레지스트 막을 보호하는 보호막을 웨이퍼(W) 상에 도포한다. 보호막 도포 챔버(4410)는 하우징(4411), 지지 플레이트(4412), 그리고 노즐(4413)을 가진다. 하우징(4411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(4412)는 하우징(4411) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(4412)는 회전 가능하게 제공된다. 노즐(4413)은 지지 플레이트(4412)에 놓인 웨이퍼(W) 상으로 보호막 형성을 위한 보호액을 공급한다. 노즐(4413)은 원형의 관 형상을 가지고, 웨이퍼(W)의 중심으로 보호액을 공급할 수 있다. 선택적으로 노즐(4413)은 웨이퍼(W)의 직경에 상응하는 길이를 가지고, 노즐(4413)의 토출구는 슬릿으로 제공될 수 있다. 이 경우, 지지 플레이트(4412)는 고정된 상태로 제공될 수 있다. 보호액은 발포성 재료를 포함한다. 보호액은 포토 레지스터 및 물과의 친화력이 낮은 재료가 사용될 수 있다. 예컨대, 보호액은 불소계의 용제를 포함할 수 있다. 보호막 도포 챔버(4410)는 지지 플레이트(4412)에 놓인 웨이퍼(W)를 회전시키면서 웨이퍼(W)의 중심 영역으로 보호액을 공급한다. The protective film applying chamber 4410 applies a protective film on the wafer W to protect the resist film during the liquid immersion exposure. The protective coating chamber 4410 has a housing 4411, a support plate 4412, and a nozzle 4413. The housing 4411 has a cup shape with an open top. The support plate 4412 is located in the housing 4411 and supports the wafer (W). The support plate 4412 is provided to be rotatable. The nozzle 4413 supplies a protective liquid for forming a protective film onto the wafer W placed on the support plate 4412. The nozzle 4413 has a circular tubular shape and can supply a protection liquid to the center of the wafer W. As shown in FIG. Optionally, the nozzle 4413 has a length corresponding to the diameter of the wafer W, and the discharge port of the nozzle 4413 may be provided as a slit. In this case, the support plate 4412 may be provided in a fixed state. The protective liquid includes a foamable material. As the protective liquid, a material having a low affinity with the photoresist and water may be used. For example, the protective liquid may contain a fluorine-based solvent. The protective film applying chamber 4410 supplies the protective liquid to the center area of the wafer W while rotating the wafer W placed on the support plate 4412.

베이크 챔버(4420)는 보호막이 도포된 웨이퍼(W)를 열처리한다. 베이크 챔버(4420)는 냉각 플레이트(4421) 또는 가열 플레이트(4422)를 가진다. 냉각 플레이트(4421)에는 냉각수 또는 열전 소자와 같은 냉각 수단(4423)이 제공된다. 또는 가열 플레이트(4422)에는 열선 또는 열전 소자와 같은 가열 수단(4424)이 제공된다. 가열 플레이트(4422)와 냉각 플레이트(4421)는 하나의 베이크 챔버(4420) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(4420)들 중 일부는 가열 플레이트(4422)만을 구비하고, 다른 일부는 냉각 플레이트(4421)만을 구비할 수 있다. The bake chamber 4420 heat-processes the wafer W on which the protective film is applied. The bake chamber 4420 has a cooling plate 4421 or a heating plate 4422. The cooling plate 4421 is provided with cooling means 4423, such as cooling water or thermoelectric elements. Alternatively, the heating plate 4422 is provided with heating means 4424 such as hot wires or thermoelectric elements. The heating plate 4422 and the cooling plate 4421 may each be provided in one bake chamber 4420. Optionally, some of the bake chambers 4420 may have only a heating plate 4422 and others may have only a cooling plate 4421.

제 2 모듈(4402)은 세정 챔버(4460), 노광 후 베이크 챔버(4470), 그리고 반송 챔버(4480)를 가진다. 세정 챔버(4460), 반송 챔버(4480), 그리고 노광 후 베이크 챔버(4470)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 세정 챔버(4460)와 노광 후 베이크 챔버(4470)는 반송 챔버(4480)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 세정 챔버(4460)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 세정 챔버(4460)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. 노광 후 베이크 챔버(4470)는 복수 개가 제공되며, 서로 층을 이루도록 제 3 방향(16)을 따라 배치될 수 있다. 선택적으로 노광 후 베이크 챔버(4470)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공될 수 있다. The second module 4402 has a cleaning chamber 4460, a post exposure bake chamber 4470, and a transfer chamber 4480. The cleaning chamber 4460, the transfer chamber 4480, and the post-exposure bake chamber 4470 are sequentially disposed along the second direction 14. Accordingly, the cleaning chamber 4460 and the post-exposure bake chamber 4470 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 4480 interposed therebetween. The cleaning chamber 4460 may be provided in plural and may be disposed along the third direction 16 to layer each other. Optionally, a plurality of cleaning chambers 4460 may be provided in the first direction 12 and the third direction 16, respectively. A plurality of bake chambers 4470 may be provided after the exposure, and may be disposed along the third direction 16 to layer each other. Optionally, a plurality of post-exposure bake chambers 4470 may be provided in the first direction 12 and the third direction 16, respectively.

반송 챔버(4480)는 상부에서 바라볼 때 버퍼 모듈(4300)의 제 2 버퍼(4330)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(4480)는 대체로 정사각 또는 직사각의 형상을 가진다. 반송 챔버(4480) 내에는 제 2 로봇(4482)이 위치된다. 제 2 로봇(4482)은 노광 후 베이크 챔버들(4470), 세정 챔버들(4460), 버퍼 모듈(4300)의 냉각 챔버(4340), 그리고 후술하는 인터페이스 모듈(4500)의 제 2 버퍼(4530) 간에 웨이퍼(W)를 운반한다. 제 2 모듈(4402)에 제공된 제 2 로봇(4482)은 제 1 모듈(4401)에 제공된 제 1 로봇(4432)과 동일한 구조로 제공될 수 있다. The transfer chamber 4480 is positioned side by side in the first direction 12 with the second buffer 4330 of the buffer module 4300 when viewed from the top. The transfer chamber 4480 has a generally square or rectangular shape. The second robot 4482 is located in the transfer chamber 4480. The second robot 4442 may include post-exposure bake chambers 4470, cleaning chambers 4460, a cooling chamber 4340 of the buffer module 4300, and a second buffer 4530 of the interface module 4500 described below. The wafer W is transported in the liver. The second robot 4442 provided to the second module 4402 may be provided in the same structure as the first robot 4432 provided to the first module 4401.

세정 챔버(4460)는 노광 공정 이후에 웨이퍼(W)를 세정한다. 세정 챔버(4460)는 하우징(4461), 지지 플레이트(4462), 그리고 노즐(4463)을 가진다. 하우징(4461)는 상부가 개방된 컵 형상을 가진다. 지지 플레이트(4462)는 하우 징(4461) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(4462)는 회전 가능하게 제공된다. 노즐(4463)은 지지 플레이트(4462)에 놓인 웨이퍼(W) 상으로 세정액을 공급한다. 세정액으로는 탈이온수와 같은 물이 사용될 수 있다. 세정 챔버(4460)는 지지 플레이트(4462)에 놓인 웨이퍼(W)를 회전시키면서 웨이퍼(W)의 중심 영역으로 세정액을 공급한다. 선택적으로 웨이퍼(W)가 회전되는 동안 노즐(4463)은 웨이퍼(W)의 중심 영역에서 가장자리 영역까지 직선 이동 또는 회전 이동할 수 있다. The cleaning chamber 4460 cleans the wafer W after the exposure process. The cleaning chamber 4460 has a housing 4451, a support plate 4442, and a nozzle 4443. The housing 4451 has a cup shape with an open top. The support plate 4442 is located in the housing 4451, and supports the wafer W. As shown in FIG. The support plate 4442 is provided to be rotatable. The nozzle 4443 supplies the cleaning liquid onto the wafer W placed on the support plate 4442. As the cleaning liquid, water such as deionized water may be used. The cleaning chamber 4460 supplies the cleaning liquid to the center region of the wafer W while rotating the wafer W placed on the support plate 4442. Optionally, while the wafer W is being rotated, the nozzle 4443 can be linearly moved or rotated from the center area of the wafer W to the edge area.

노광 후 베이크 챔버(4470)는 원자외선을 이용하여 노광 공정이 수행된 웨이퍼(W)를 가열한다. 노광 후 베이크 공정은 웨이퍼(W)를 가열하여 노광에 의해 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화를 완성시킨다. 노광 후 베이크 챔버(4470)는 가열 플레이트(4472)를 가진다. 가열 플레이트(4472)에는 열선 또는 열전 소자와 같은 가열 수단(4474)이 제공된다. 노광 후 베이크 챔버(4470)는 그 내부에 냉각 플레이트(4471)를 더 구비할 수 있다. 냉각 플레이트(4471)에는 냉각수 또는 열전 소자와 같은 냉각 수단(4473)이 제공된다. 또한, 선택적으로 냉각 플레이트(4471)만을 가진 베이크 챔버가 더 제공될 수 있다. The post-exposure bake chamber 4470 heats the wafer W on which the exposure process is performed using far ultraviolet rays. The post-exposure bake process heats the wafer W to amplify an acid generated in the photoresist by exposure to complete the property change of the photoresist. The post-exposure bake chamber 4470 has a heating plate 4452. The heating plate 4452 is provided with heating means 4444, such as a hot wire or a thermoelectric element. The post-exposure bake chamber 4470 may further include a cooling plate 4471 therein. Cooling plate 4471 is provided with cooling means 4473, such as cooling water or thermoelectric elements. In addition, a bake chamber may optionally be provided with only the cooling plate 4471.

상술한 바와 같이 공정 모듈(4400)에서 제 1 모듈(4401)과 제 2 모듈(4402)은 서로 간에 완전히 분리되도록 제공된다. 또한, 제 1 모듈(4401)의 반송 챔버(4430)와 제 2 모듈(4402)의 반송 챔버(4480)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 보호막 도포 챔버(4410)와 세정 챔버(4460)는 서로 동일한 크기로 제공되어 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다. 또한, 베이크 챔버(4420)와 노광 후 베이크 챔버(4470)는 동일한 크기로 제공되어, 상부에서 바라볼 때 서로 간에 완전히 중첩되도록 제공될 수 있다.As described above, in the process module 4400, the first module 4401 and the second module 4402 are provided to be completely separated from each other. In addition, the transfer chamber 4430 of the first module 4401 and the transfer chamber 4480 of the second module 4402 may be provided in the same size so as to completely overlap each other when viewed from the top. In addition, the protective film applying chamber 4410 and the cleaning chamber 4460 may be provided in the same size to be completely overlapped with each other when viewed from the top. In addition, the bake chamber 4420 and the post-exposure bake chamber 4470 may be provided in the same size, so as to completely overlap each other when viewed from the top.

인터페이스 모듈(4500)은 공정 모듈(4400)과 노광 장치(9000) 간에 웨이퍼(W)를 이송한다. 인터페이스 모듈(4500)은 프레임(4510), 인터페이스 로봇(4540), 제 1 버퍼(4520), 그리고 제 2 버퍼(4530)를 가진다. 인터페이스 로봇(4540), 제 1 버퍼(4520), 그리고 제 2 버퍼(4530)는 프레임(4510) 내에 위치된다. 제 1 버퍼(4520)와 제 2 버퍼(4530)는 서로 간에 일정거리 이격되며, 서로 적층되도록 배치된다. 제 1 버퍼(4520)는 제 2 버퍼(4530)보다 높게 배치된다. 제 1 버퍼(4520)는 제 1 모듈(4401)과 대응되는 높이에 위치되고, 제 2 버퍼(4530)는 제 2 모듈(4402)에 대응되는 높이에 배치된다. 상부에서 바라볼 때 제 1 버퍼(4520)는 제 1 모듈(4401)의 반송 챔버(4430)와 제 1 방향(12)을 따라 일렬로 배치되고, 제 2 버퍼(4530)는 제 2 모듈(4402)의 반송 챔버(4430)와 제 1 방향(12)을 따라 일렬로 배치되게 위치된다. 인터페이스 로봇(4540)은 제 1 버퍼(4520) 및 제 2 버퍼(4530)와 제 2 방향(14)으로 이격되게 위치된다. 인터페이스 로봇(4540)은 제 1 버퍼(4520), 제 2 버퍼(4530), 그리고 노광 장치(9000) 간에 웨이퍼(W)를 운반한다. 인터페이스 로봇(4540)은 버퍼 로봇(4350)과 대체로 유사한 구조를 가진다.The interface module 4500 transfers the wafer W between the process module 4400 and the exposure apparatus 9000. The interface module 4500 has a frame 4510, an interface robot 4540, a first buffer 4520, and a second buffer 4530. The interface robot 4540, the first buffer 4520, and the second buffer 4530 are located in the frame 4510. The first buffer 4520 and the second buffer 4530 are spaced apart from each other by a predetermined distance and disposed to be stacked on each other. The first buffer 4520 is disposed higher than the second buffer 4530. The first buffer 4520 is located at a height corresponding to the first module 4401, and the second buffer 4530 is disposed at a height corresponding to the second module 4402. As viewed from the top, the first buffer 4520 is arranged in line with the transfer chamber 4430 of the first module 4401 and in the first direction 12, and the second buffer 4530 is the second module 4402. Are positioned in a line along the transfer chamber 4430 and the first direction 12. The interface robot 4540 is positioned to be spaced apart from the first buffer 4520 and the second buffer 4530 in the second direction 14. The interface robot 4540 transports the wafer W between the first buffer 4520, the second buffer 4530, and the exposure apparatus 9000. The interface robot 4540 has a structure generally similar to that of the buffer robot 4350.

제 1 버퍼(4520)는 제 1 모듈(4401)에서 공정이 수행된 웨이퍼(W)들이 노광 장치(9000)로 이동되기 전에 이들을 일시적으로 보관한다. 그리고 제 2 버퍼(4530)는 노광 장치(9000)에서 공정이 완료된 웨이퍼(W)들이 제 2 모듈(4402)로 이동되기 전에 이들을 일시적으로 보관한다. 제 1 버퍼(4520)는 하우징(4521)과 복수의 지지대들(4522)을 가진다. 지지대들(4522)은 하우징(4521) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(4522)에는 하나의 웨이퍼(W)가 놓인다. 하우징(4521)은 인터페이스 로봇(4540) 및 제 1 로봇(4432)이 하우징(4521) 내로 지지대(4522)에 웨이퍼(W)를 반입 또는 반출할 수 있도록 인터페이스 로봇(4540)이 제공된 방향 및 제 1 로봇(4432)이 제공된 방향에 개구를 가진다. 제 2 버퍼(4530)는 제 1 버퍼(4520)와 대체로 유사한 구조를 가진다. 다만, 제 2 버퍼(4530)의 하우징(4531)에는 인터페이스 로봇(4540)이 제공된 방향 및 제 2 로봇(4482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(4520)에 제공된 지지대(4522)의 수와 제 2 버퍼(4530)에 제공된 지지대(4532)의 수는 동일하거나 상이할 수 있다. The first buffer 4520 temporarily stores the wafers W processed in the first module 4401 before they are moved to the exposure apparatus 9000. The second buffer 4530 may temporarily store the wafers W processed in the exposure apparatus 9000 before moving to the second module 4402. The first buffer 4520 has a housing 4452 and a plurality of supports 4522. The supports 4522 are disposed in the housing 4452 and are spaced apart from each other along the third direction 16. One wafer W is placed on each support 4522. The housing 4451 is provided with an interface robot 4540 and a first direction so that the interface robot 4540 and the first robot 4432 can carry or unload the wafer W into the support 4522 into the housing 4452. The robot 4432 has an opening in the provided direction. The second buffer 4530 has a structure generally similar to the first buffer 4520. However, the housing 4531 of the second buffer 4530 has openings (not shown) in the direction in which the interface robot 4540 is provided and in the direction in which the second robot 4482 is provided. The number of supports 4522 provided in the first buffer 4520 and the number of supports 4532 provided in the second buffer 4530 may be the same or different.

다음에는 도 9를 참조하여, 도 6의 노광 전후 처리 유닛(4000)을 이용하여 공정을 수행하는 일 예를 설명한다. 도 9는 노광 전후 처리 유닛(4000)에서 웨이퍼(W)에 대해 공정이 수행되는 일 예를 보여주는 플로우 차트이다. 도 5에서는 웨이퍼(W) 상에 화학 증폭 레지스트가 도포되고, 노광 장치(9000)가 원자외선 광원을 이용한 노광 및 액침 노광에 의해 공정을 수행하는 경우를 예로 들어 설명한다. Next, an example of performing a process using the pre-exposure before and after processing unit 4000 of FIG. 6 will be described with reference to FIG. 9. 9 is a flowchart illustrating an example in which a process is performed on the wafer W in the pre-exposure processing unit 4000. In FIG. 5, a case where a chemically amplified resist is applied on the wafer W, and the exposure apparatus 9000 performs the process by exposure and liquid immersion exposure using an ultraviolet light source will be described as an example.

도포 유닛(3000)에서 도포 공정이 완료된 웨이퍼(W)들은 용기(2000)에 수납된다. 용기(2000)는 노광 전후 처리 유닛(4000)의 재치대(4120)에 놓인다(스텝 S212). 도어 오프너(도시되지 않음)에 의해 용기(2000)의 도어가 개방된다. 인덱스 로봇(4220)은 용기(2000)로부터 웨이퍼(W)를 꺼내어 버퍼 모듈(4300)의 제 2 버 퍼(4330)로 운반한다(스텝 S214). 버퍼 로봇(4350)은 제 2 버퍼(4330)에 보관된 웨이퍼(W)를 제 1 버퍼(4320)로 운반한다(스텝 S216). 제 1 로봇(4432)은 제 1 버퍼(4320)로부터 웨이퍼(W)를 꺼내어 공정 모듈(4400)의 보호막 도포 챔버(4410)로 운반한다(스텝 S218). 보호막 도포 챔버(4410)는 웨이퍼(W) 상에 보호막을 도포한다(스텝 S220). 이후 제 1 로봇(4432)은 웨이퍼(W)를 보호막 도포 챔버(4410)로부터 베이크 챔버(4420)로 운반한다(스텝 S222). 베이크 챔버(4420)는 웨이퍼(W)에 대해 가열 및 냉각 등과 같은 열처리를 수행한다(스텝 S224). In the coating unit 3000, the wafers W on which the coating process is completed are accommodated in the container 2000. The container 2000 is placed on the mounting table 4120 of the exposure pre- and post-processing unit 4000 (step S212). The door of the container 2000 is opened by a door opener (not shown). The index robot 4220 removes the wafer W from the container 2000 and transfers it to the second buffer 4330 of the buffer module 4300 (step S214). The buffer robot 4350 carries the wafer W stored in the second buffer 4330 to the first buffer 4320 (step S216). The first robot 4432 removes the wafer W from the first buffer 4320 and transfers the wafer W to the protective film applying chamber 4410 of the process module 4400 (step S218). The protective film application chamber 4410 applies a protective film on the wafer W (step S220). Thereafter, the first robot 4432 transfers the wafer W from the protective film applying chamber 4410 to the bake chamber 4420 (step S222). The bake chamber 4420 performs heat treatment such as heating and cooling on the wafer W (step S224).

제 1 로봇(4432)은 베이크 챔버(4420)에서 웨이퍼(W)를 꺼내어 제 1 버퍼(4520)로 운반한다(스텝 S226). 인터페이스 로봇(4540)은 제 1 버퍼(4520)로부터 노광 장치(9000)로 웨이퍼(W)를 운반한다(스텝 S228). 노광 장치(9000)에서 웨이퍼(W)에 대해 노광 공정이 수행된다(스텝 S230). 이후, 인터페이스 로봇(4540)은 노광 장치(9000)에서 웨이퍼(W)를 제 2 버퍼(4530)로 운반한다(스텝 S232). The first robot 4432 takes the wafer W out of the bake chamber 4420 and transports the wafer W to the first buffer 4520 (step S226). The interface robot 4540 carries the wafer W from the first buffer 4520 to the exposure apparatus 9000 (step S228). The exposure process is performed with respect to the wafer W in the exposure apparatus 9000 (step S230). Thereafter, the interface robot 4540 carries the wafer W to the second buffer 4530 in the exposure apparatus 9000 (step S232).

제 2 로봇(4482)은 제 2 버퍼(4530)의 지지대로부터 웨이퍼(W)를 꺼내어 공정 모듈(4400)의 세정 챔버(4460)로 운반한다(스텝 S234). 세정 챔버(4460)는 웨이퍼(W)의 표면에 세정액을 공급하여 세정 공정을 수행한다(스텝 S236). 세정액을 이용한 웨이퍼(W)의 세정이 완료되면 제 2 로봇(4482)은 곧바로 세정 챔버(4460)로부터 웨이퍼(W)를 꺼내어 노광 후 베이크 챔버(4470)로 웨이퍼(W)를 운반한다(스텝 S238). 노광 후 베이크 챔버(4470)의 가열 플레이트에서 웨이퍼(W)의 가열에 의해 웨이퍼(W) 상에 부착된 세정액이 제거되고, 이와 동시에 포토 레지스트에 생성된 산(acid)을 증폭시켜 포토 레지스트의 성질 변화가 완성된다(스텝 S240). 제 2 로 봇(4482)은 노광 후 베이크 챔버(4470)로부터 웨이퍼(W)를 버퍼 모듈(4300)의 냉각 챔버(4340)로 운반한다(스텝 S242). 냉각 챔버(4340)에서 웨이퍼(W)의 냉각이 수행된다(스텝 S244). 인덱스 로봇(4220)은 냉각 챔버(4340)로부터 웨이퍼(W)를 꺼내어 용기(2000)로 운반한다(스텝 S246).The second robot 4442 removes the wafer W from the support of the second buffer 4530 and transports the wafer W to the cleaning chamber 4460 of the process module 4400 (step S234). The cleaning chamber 4460 supplies a cleaning liquid to the surface of the wafer W to perform a cleaning process (step S236). When the cleaning of the wafer W using the cleaning liquid is completed, the second robot 4482 immediately removes the wafer W from the cleaning chamber 4460 and transports the wafer W to the post-exposure bake chamber 4470 (step S238). ). The cleaning liquid attached to the wafer W is removed by heating the wafer W in the heating plate of the bake chamber 4470 after exposure, and at the same time, amplification of the acid generated in the photoresist to amplify the properties of the photoresist. The change is completed (step S240). The second robot 4442 transfers the wafer W from the post-exposure bake chamber 4470 to the cooling chamber 4340 of the buffer module 4300 (step S242). Cooling of the wafer W is performed in the cooling chamber 4340 (step S244). The index robot 4220 removes the wafer W from the cooling chamber 4340 and transports it to the container 2000 (step S246).

용기(2000)는 현상 유닛(5000)으로 운반되고, 현상 유닛(5000)에서 현상 공정이 수행된다.The container 2000 is transferred to the developing unit 5000, and a developing process is performed in the developing unit 5000.

웨이퍼(W) 상에 잔류하는 보호막의 일부는 현상액에 의해 제거되고, 보호막의 나머지 일부는 애싱 공정시 포토 레지스트와 함께 제거된다. A part of the protective film remaining on the wafer W is removed by the developer, and the other part of the protective film is removed together with the photoresist during the ashing process.

도 6의 실시예에 의하면, 노광 전후 처리 유닛(4000)은 별도의 보호막 제거 챔버를 구비하지 않는다. 따라서 노광 전후 처리 유닛(4000)의 구조가 비교적 간단하고, 공정에 소요되는 시간을 줄일 수 있다.According to the embodiment of FIG. 6, the pre- and post-exposure processing unit 4000 does not include a separate protective film removing chamber. Therefore, the structure of the pre- and post-exposure processing unit 4000 is relatively simple, and the time required for the process can be reduced.

또한, 화학증폭형 레지스트를 사용하는 경우, 노광 공정이 완료된 후 노광 후 베이크 공정이 수행되는 시기는 중요하다. 도 6의 실시예에 의하면, 노광 전후 처리 유닛(4000)에 노광 후 베이크 챔버(4470)가 제공된다. 따라서 웨이퍼(W)가 현상 유닛(5000)으로 이동되기 전에 노광 전후 처리 유닛(4000) 내에서 빠르게 산 증폭을 수행할 수 있다. In addition, when using a chemically amplified resist, it is important that the post-exposure bake process is performed after the exposure process is completed. According to the embodiment of FIG. 6, the post-exposure bake chamber 4470 is provided to the pre-exposure processing unit 4000. Therefore, before the wafer W is moved to the developing unit 5000, acid amplification can be quickly performed in the pre- and post-exposure processing unit 4000.

또한, 도 6의 실시예에 의하면, 세정 챔버(660)는 웨이퍼(W)에 대해 세정액을 이용한 세정만을 수행하고, 별도로 건조가스와 같은 유체의 공급에 의한 웨이퍼(W) 건조는 수행하지 않는다. 웨이퍼(W) 건조는 가열에 의해 이루어진다. 예컨대, 웨이퍼 건조는 노광 후 베이크 챔버(670)에서 산 증폭과 동시에 수행된다. 따 라서 세정 챔버(660)에서 세정액에 의한 세정 및 건조 가스에 의한 건조를 모두 수행하는 경우에 비해 공정에 소요되는 시간을 줄일 수 있다.In addition, according to the embodiment of FIG. 6, the cleaning chamber 660 only performs cleaning with the cleaning liquid on the wafer W, and does not separately dry the wafer W by supplying a fluid such as a dry gas. The wafer W is dried by heating. For example, wafer drying is performed simultaneously with acid amplification in the bake chamber 670 after exposure. Therefore, the time required for the process can be reduced compared to the case where both the cleaning with the cleaning liquid and the drying with the drying gas are performed in the cleaning chamber 660.

다음에는 상술한 노광 전후 처리 유닛(4000)의 다양한 변형 예들을 예시한다.Next, various modifications of the above-described pre- and post-exposure processing unit 4000 are illustrated.

상술한 예에서는 제 1 모듈(4401)이 상기 제 2 모듈(4402)의 상부에 배치되는 것으로 설명하였으나, 이와 달리 제 2 모듈(4402)가 제 1 모듈(4401)의 상부에 배치될 수 있다.In the above-described example, the first module 4401 is described as being disposed above the second module 4402. Alternatively, the second module 4402 may be disposed above the first module 4401.

또한, 공정 모듈(4400)은 서로 층으로 구획된 제 1 모듈(4401)과 제 2 모듈(4402) 대신 하나의 모듈만을 구비할 수 있다. 이 경우, 하나의 모듈 내에, 보호막 도포 챔버(4410), 베이크 챔버(4420), 세정 챔버(4460), 노광 후 베이크 챔버(4470)가 모두 제공될 수 있다.In addition, the process module 4400 may include only one module instead of the first module 4401 and the second module 4402 divided into layers. In this case, in one module, the protective film applying chamber 4410, the bake chamber 4420, the cleaning chamber 4460, and the post exposure bake chamber 4470 may be provided.

또한, 세정 챔버(4460)에는 세정액을 공급하는 노즐 이외에 추가적으로 건조 가스를 공급하는 노즐을 더 제공될 수 있다. 이 경우, 노광 후 베이크 챔버(4470)에서 웨이퍼(W)의 가열이 이루어지기 전에 웨이퍼(W) 상에 부착된 세정액을 제거할 수 있다. In addition, the cleaning chamber 4460 may further be provided with a nozzle for supplying a dry gas in addition to the nozzle for supplying a cleaning liquid. In this case, the cleaning liquid attached to the wafer W may be removed before the wafer W is heated in the baking chamber 4470 after exposure.

또한, 제 2 모듈(4402)에는 냉각 플레이트는 제공되지 않을 수 있다. 웨이퍼(W)의 냉각은 오직 버퍼 모듈(4300)에 제공된 냉각 챔버(4340)에서만 이루어질 수 있다. 이 경우, 선택적으로 버퍼 모듈(4300)에는 복수의 냉각 챔버(4340)들이 서로간에 적층되도록 배치될 수 있다.In addition, a cooling plate may not be provided in the second module 4402. Cooling of the wafer W may only take place in the cooling chamber 4340 provided in the buffer module 4300. In this case, the plurality of cooling chambers 4340 may be selectively arranged in the buffer module 4300 to be stacked on each other.

또한, 버퍼 모듈(4300)에는 냉각 챔버(4340)가 제공되지 않을 수도 있다. 이 경우, 제 2 모듈(4402)의 냉각 플레이트에서 냉각이 이루어진 웨이퍼(W)는 제 2 로봇(4482)에 의해 직접 제 2 버퍼(4330)로 이송되고, 인덱스 로봇(4220)은 제 2 버퍼(4330)에 보관된 웨이퍼(W)들을 용기(2000)로 운반할 수 있다.In addition, the cooling module 4340 may not be provided in the buffer module 4300. In this case, the wafer W cooled by the cooling plate of the second module 4402 is transferred directly to the second buffer 4330 by the second robot 4440, and the index robot 4220 may use the second buffer ( The wafers W stored in the 4330 may be transferred to the container 2000.

또한, 버퍼 모듈(4300)에서 냉각 챔버(4340)와 제 2 버퍼(4330)는 그 위치가 서로 변경될 수 있다.In addition, the positions of the cooling chamber 4340 and the second buffer 4330 in the buffer module 4300 may be changed.

또한, 제 1 모듈(4401)과 제 2 모듈(4402)은 그 위치가 서로 변경될 수 있다. 이 경우, 선택적으로, 버퍼 모듈(4300)에 제공된 냉각 유닛은 제 2 모듈(4402)과 대응되는 높이에 제공된다.In addition, the positions of the first module 4401 and the second module 4402 may be changed. In this case, optionally, the cooling unit provided to the buffer module 4300 is provided at a height corresponding to the second module 4402.

또한, 버퍼 모듈(4300)은 그 높이가 공정 모듈(4400)과 동일하게 제공될 수 있다. 이 경우, 선택적으로 인덱스 로봇(4220)은 제 1 버퍼(4320)에 직접 웨이퍼(W)들에 공급할 수 있다. In addition, the buffer module 4300 may be provided at the same height as the process module 4400. In this case, the index robot 4220 may optionally supply the wafers W directly to the first buffer 4320.

또한, 선택적으로 제 2 모듈(4402)에는 노광 공정 후 보호막을 제거하기 위한 보호막 제거 챔버가 제공될 수 있다. 이 경우, 애싱 공정이 수행되기 전에 미리 웨이퍼(W) 상에 제공된 보호막을 제거할 수 있다.Alternatively, the second module 4402 may be provided with a protective film removing chamber for removing the protective film after the exposure process. In this case, the protective film provided on the wafer W may be removed before the ashing process is performed.

또한, 노광 장치(9000)가 액침 노광 방식 이외의 방식으로 공정을 수행하는 경우, 제 1 모듈(4401)에는 보호막 도포 유닛(3000)이 제공되지 않을 수 있다. 이 경우, 선택적으로 베이크 챔버(4420)도 제공되지 않을 수 있다. 이 경우, 선택적으로 공정 모듈(4400)은 제 1 모듈(4401) 없이 제 2 모듈(4402)만으로 제공될 수 있다.In addition, when the exposure apparatus 9000 performs the process by a method other than the liquid immersion exposure method, the protective film coating unit 3000 may not be provided to the first module 4401. In this case, an optional bake chamber 4420 may also not be provided. In this case, the process module 4400 may optionally be provided as the second module 4402 without the first module 4401.

또한, 노광 장치(9000)가 원자외선 광원을 이용하여 공정을 수행하지 않는 경우, 제 2 모듈(4402)에 노광 후 베이크 챔버(4470)가 제공되지 않을 수 있다.In addition, when the exposure apparatus 9000 does not perform the process using the far-infrared light source, the post-exposure bake chamber 4470 may not be provided to the second module 4402.

(현상 유닛)(Developing unit)

도 10 내지 도 12는 현상 유닛(5000)의 구조를 개략적으로 보여주는 도면들이다. 도 10은 현상 유닛(5000)을 상부에서 바라본 도면이고, 도 11은 도 10의 현상 유닛(5000)을 'E' 방향을 따라 바라본 도면이고, 도 12는 도 11의 유닛을 'F' 방향을 따라 바라본 도면이다.10 to 12 are diagrams schematically showing the structure of the developing unit 5000. FIG. 10 is a view of the developing unit 5000 from the top, FIG. 11 is a view of the developing unit 5000 of FIG. 10 along the 'E' direction, and FIG. 12 is a view of the unit of FIG. 11 in the 'F' direction. This is a view along the way.

도 10 내지 도 12를 참조하면, 현상 유닛(5000)은 로드 포트(5100), 인덱스 모듈(5200), 버퍼 모듈(5300), 그리고 공정 모듈(5400)을 가진다. 로드 포트(5100), 인덱스 모듈(5200), 버퍼 모듈(5300), 그리고 공정 모듈(5400)은 순차적으로 제 1 방향(12)을 따라 배치된다. 로드 포트(5100)는 웨이퍼들(W)이 수납된 용기(2000)가 놓여지는 재치대(5120)를 가진다. 재치대(5120)는 복수개가 제공되며, 재치대들(5120)은 제 2 방향(14)을 따라 일렬로 배치된다. 도 10에서는 4개의 재치대(5120)가 제공되었다. 10 to 12, the developing unit 5000 includes a load port 5100, an index module 5200, a buffer module 5300, and a process module 5400. The load port 5100, the index module 5200, the buffer module 5300, and the process module 5400 are sequentially disposed along the first direction 12. The load port 5100 has a mounting table 5120 on which a container 2000 in which wafers W are accommodated is placed. The mounting table 5120 may be provided in plural, and the mounting tables 5120 may be arranged in a line along the second direction 14. In FIG. 10, four mounting stages 5120 are provided.

인덱스 모듈(5200)은 로드 포트(5100)의 재치대에 놓인 용기(2000)와 버퍼 모듈(5300) 간에 웨이퍼(w)을 이송한다. 인덱스 모듈(5200)은 프레임(5210), 인덱스 로봇(5220), 그리고 가이드 레일(5230)을 가진다. 프레임(5210)은 대체로 내부가 빈 직육면체의 형상으로 제공되며, 로드 포트(5100)와 버퍼 모듈(5300) 사이에 배치된다. 프레임(5210)은 후술하는 버퍼 모듈(5300)의 프레임(5310)보다 낮은 높이로 제공될 수 있다. 인덱스 로봇(5220)과 가이드 레일(5230)은 프레임(5210) 내 에 배치된다. 인덱스 로봇(5220)은 웨이퍼(W)를 직접 핸들링하는 핸드(5221)가 제 1 방향(12), 제 2 방향(14), 제 3 방향(16)으로 이동 가능하고, 회전될 수 있도록 4축 구동이 가능한 구조를 가진다. 인덱스 로봇(5220)은 핸드(5221), 아암(5222), 지지대(5223), 그리고 받침대(5224)를 가진다. 아암(5222)은 신축 가능한 구조로 제공되어 핸드(5222)가 수평 방향으로 이동 가능하도록 한다. 지지대(5223)은 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(5222)은 지지대(5223)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(5223)에 결합된다. 가이드 레일(5230)은 그 길이 방향이 제 2 방향(14)을 따라 배치되도록 제공된다. 지지대(5223)는 받침대(5224)에 고정 결합된다. 받침대(5224)는 가이드 레일(5230)을 따라 직선 이동 가능하도록 가이드 레일에 결합된다. 또한, 도시되지는 않았지만, 프레임(5210)에는 용기(2000)의 도어를 개폐하는 도어 오프너가 더 제공된다.The index module 5200 transfers the wafer w between the container 2000 placed on the mounting table of the load port 5100 and the buffer module 5300. The index module 5200 has a frame 5210, an index robot 5220, and a guide rail 5230. The frame 5210 is generally provided in the shape of an empty rectangular parallelepiped, and is disposed between the load port 5100 and the buffer module 5300. The frame 5210 may be provided at a height lower than that of the frame 5310 of the buffer module 5300, which will be described later. The index robot 5220 and the guide rail 5230 are disposed in the frame 5210. The index robot 5220 is configured to move in the first direction 12, the second direction 14, and the third direction 16 so that the hand 5121 directly handling the wafer W may rotate in four axes. It has a structure that can be driven. Index robot 5220 has a hand 5221, an arm 5222, a support 5223, and a pedestal 5224. Arm 5222 is provided in a flexible structure to allow hand 5222 to move in the horizontal direction. The support 5223 is provided such that its longitudinal direction is disposed along the third direction 16. Arm 5222 is coupled to support 5223 so as to be linearly movable in third direction 16 along support 5223. The guide rail 5230 is provided such that its longitudinal direction is disposed along the second direction 14. The support 5223 is fixedly coupled to the pedestal 5224. The pedestal 5224 is coupled to the guide rail to be linearly movable along the guide rail 5230. In addition, although not shown, the frame 5210 is further provided with a door opener for opening and closing the door of the container 2000.

도 11을 참조하면, 버퍼 모듈(5300)은 프레임(5310), 제 1 버퍼(5320), 제 2 버퍼(5330), 제 1 냉각 챔버(5340), 제 2 냉각 챔버(5350), 그리고 버퍼 로봇(5360)을 가진다. 프레임(5310)은 내부가 빈 직육면체의 형상으로 제공되며, 인덱스 모듈(5200)과 공정 모듈(5400) 사이에 배치된다. 제 1 버퍼(5320), 제 2 버퍼(5330), 제 1 냉각 챔버(5340), 제 2 냉각 챔버(5350), 그리고 버퍼 로봇(5360)은 프레임(5310) 내에 위치된다. 제 2 냉각 챔버(5350), 제 2 버퍼(5330), 제 1 냉각 챔버(5340), 그리고 제 1 버퍼(5320)는 순차적으로 아래에서부터 제 3 방향(16)을 따라 배치된다. 제 1 냉각 챔버(5340) 및 제 1 버퍼(5320)는 후술하는 공정 모 듈(5400)의 제 1 모듈(5401)과 대응되는 높이에 위치되고, 제 2 냉각 챔버(5350)와 제 2 버퍼(5330)는 후술하는 공정 모듈(5400)의 제 2 모듈(5402)과 대응되는 높이에 위치된다. 버퍼 로봇(5360)은 제 2 버퍼(5330), 제 2 냉각 챔버(5350), 제 1 버퍼(5320), 그리고 제 1 냉각 챔버(5340)와 제 2 방향(14)으로 일정 거리 이격되게 위치된다. Referring to FIG. 11, the buffer module 5300 may include a frame 5310, a first buffer 5320, a second buffer 5330, a first cooling chamber 5340, a second cooling chamber 5350, and a buffer robot. (5360). The frame 5310 is provided in a shape of an empty rectangular parallelepiped, and is disposed between the index module 5200 and the process module 5400. The first buffer 5320, the second buffer 5330, the first cooling chamber 5340, the second cooling chamber 5350, and the buffer robot 5530 are positioned in the frame 5310. The second cooling chamber 5350, the second buffer 5330, the first cooling chamber 5340, and the first buffer 5320 are sequentially disposed along the third direction 16 from below. The first cooling chamber 5340 and the first buffer 5320 are positioned at a height corresponding to the first module 5401 of the process module 5400 described later, and the second cooling chamber 5350 and the second buffer ( The 5330 is located at a height corresponding to the second module 5402 of the process module 5400 described later. The buffer robot 5530 is positioned to be spaced apart from the second buffer 5330, the second cooling chamber 5350, the first buffer 5320, and the first cooling chamber 5340 in the second direction 14 by a predetermined distance. .

제 2 버퍼(5330)와 제 1 버퍼(5320)는 각각 복수의 웨이퍼들(W)을 일시적으로 보관한다. 제 2 버퍼(5330)는 하우징(5331)과 복수의 지지대들(5332)을 가진다. 지지대들(5332)은 하우징(5331) 내에 배치되며, 서로 간에 제 3 방향(16)을 따라 이격되게 제공된다. 각각의 지지대(5332)에는 하나의 웨이퍼(W)가 놓인다. 하우징(5331)은 인덱스 로봇(5220), 버퍼 로봇(5360), 그리고 후술하는 제 2 모듈(5402)의 제 2 로봇(5482)이 하우징(5331) 내로 지지대(5332)에 웨이퍼(W)을 반입 또는 반출할 수 있도록 인덱스 로봇(5220)이 제공된 방향, 버퍼 로봇(5360)이 제공된 방향, 그리고 제 2 로봇(5482)이 제공된 방향에 개구(도시되지 않음)를 가진다. 제 1 버퍼(5320)는 제 2 버퍼(5330)와 대체로 유사한 구조를 가진다. 다만, 제 1 버퍼(5320)의 하우징(5321)에는 버퍼 로봇(5360)이 제공된 방향 및 후술하는 제 1 챔버에 위치된 제 1 로봇(5432)이 제공된 방향에 개구를 가진다. 제 1 버퍼(5320)에 제공된 지지대(5322)의 수와 제 2 버퍼(5330)에 제공된 지지대(5332)의 수는 동일하거나 상이할 수 있다. 일 예에 의하면, 제 2 버퍼(5330)에 제공된 지지대(5332)의 수는 제 1 버퍼(5320)에 제공된 지지대(5322)의 수보다 많을 수 있다.The second buffer 5330 and the first buffer 5320 temporarily store the plurality of wafers W, respectively. The second buffer 5330 has a housing 5331 and a plurality of supports 5332. The supports 5332 are disposed in the housing 5331 and are spaced apart from each other along the third direction 16. One wafer W is placed on each support 5332. In the housing 5313, the index robot 5220, the buffer robot 5530, and the second robot 5542 of the second module 5402 described below bring the wafer W into the support 5332 into the housing 5331. Or has openings (not shown) in the direction provided with the index robot 5220, the direction provided with the buffer robot 5530, and the direction provided with the second robot 5542 so as to be able to carry them out. The first buffer 5320 has a structure generally similar to that of the second buffer 5330. However, the housing 5321 of the first buffer 5320 has an opening in a direction in which the buffer robot 5530 is provided and in a direction in which the first robot 5432 located in the first chamber to be described later is provided. The number of supports 5322 provided in the first buffer 5320 and the number of supports 5332 provided in the second buffer 5330 may be the same or different. According to an example, the number of supports 5332 provided in the second buffer 5330 may be greater than the number of supports 5322 provided in the first buffer 5320.

버퍼 로봇(5360)은 제 2 버퍼(5330)와 제 1 버퍼(5320) 간에 웨이퍼(W)를 이 송시킨다. 버퍼 로봇(5360)은 핸드(5361), 아암(5362), 그리고 지지대(5363)를 가진다. 핸드(5361)는 아암(5362)에 고정 설치된다. 아암(5362)은 신축 가능한 구조로 제공되어, 핸드(5361)가 제 2 방향(14)을 따라 이동 가능하도록 한다. 아암(5362)은 지지대(5363)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(5363)에 결합된다. 지지대(5363)는 제 2 버퍼(5330)에 대응되는 위치부터 제 1 버퍼(5320)에 대응되는 위치까지 연장된 길이를 가진다. 지지대(5363)는 이보다 위 또는 아래 방향으로 더 길게 제공될 수 있다. 버퍼 로봇(5360)은 단순히 핸드(5361)가 제 2 방향(14) 및 제 3 방향(16)을 따른 2축 구동만 되도록 제공될 수 있다. The buffer robot 5560 transfers the wafer W between the second buffer 5330 and the first buffer 5320. The buffer robot 5560 has a hand 5361, an arm 5362, and a support 5363. The hand 5361 is fixed to the arm 5362. Arm 5362 is provided in an extensible structure to allow hand 5361 to move along the second direction 14. Arm 5362 is coupled to support 5363 so as to be linearly movable in third direction 16 along support 5363. The support 5363 has a length extending from a position corresponding to the second buffer 5330 to a position corresponding to the first buffer 5320. Support 5363 may be provided longer in the up or down direction than this. The buffer robot 5560 may simply be provided such that the hand 5361 is only biaxially driven in the second direction 14 and the third direction 16.

제 2 냉각 챔버(5350)와 제 1 냉각 챔버(5340)는 각각 웨이퍼(W)를 냉각한다. 제 2 냉각 챔버(5350)와 제 1 냉각 챔버(5340)는 서로 간에 동일한 구조를 가진다. 제 2 냉각 챔버(5350)는 하우징(5351)과 냉각 플레이트(5352)를 가진다. 냉각 플레이트(5352)는 웨이퍼(W)가 놓이는 상면 및 웨이퍼(W)를 냉각하는 냉각 수단(5353)을 가진다. 냉각 수단(5353)으로는 냉각수에 의한 냉각이나 열전 소자를 이용한 냉각 등 다양한 방식이 사용될 수 있다. 또한, 냉각 챔버(5350)에는 웨이퍼(W)를 냉각 플레이트(5352) 상에 위치시키는 리프트 핀 어셈블리(도시되지 않음)가 제공될 수 있다. 하우징(5351)은 인덱스 로봇(5220) 및 후술하는 제 2 모듈(5402)에 제공된 제 2 로봇(5482)이 냉각 플레이트에 웨이퍼(W)를 반입 또는 반출할 수 있도록 인덱스 로봇(5220)이 제공된 방향 및 제 2 로봇(5482)이 제공된 방향에 개구를 가진다. 또한, 제 2 냉각 챔버(5350)에는 상술한 개구를 개폐하는 도 어들(도시되지 않음)이 제공될 수 있다.The second cooling chamber 5350 and the first cooling chamber 5340 cool the wafer W, respectively. The second cooling chamber 5350 and the first cooling chamber 5340 have the same structure with each other. The second cooling chamber 5350 has a housing 5331 and a cooling plate 5332. The cooling plate 5332 has an upper surface on which the wafer W is placed, and cooling means 5353 for cooling the wafer W. As shown in FIG. As the cooling means 5353, various methods such as cooling by cooling water or cooling using a thermoelectric element may be used. In addition, the cooling chamber 5350 may be provided with a lift pin assembly (not shown) that positions the wafer W on the cooling plate 5332. The housing 5331 is a direction in which the index robot 5220 is provided so that the index robot 5220 and the second robot 5542 provided in the second module 5402 to be described later can load or unload the wafer W onto the cooling plate. And an opening in the direction in which the second robot 5542 is provided. In addition, the second cooling chamber 5350 may be provided with doors (not shown) for opening and closing the above-described opening.

공정 모듈(5400)은 웨이퍼(W)가 노광 전후 처리 유닛(4000)으로 운반되기 전에 필요한 공정을 수행한다. 공정 모듈(5400)은 대체로 직육면체의 형상을 가진다. 공정 모듈(5400)은 제 1 모듈(5401)과 제 2 모듈(5402)을 가진다. 제 1 모듈(5401)과 제 2 모듈(5402)은 서로 간에 층으로 구획되도록 배치된다. 제 1 모듈(5401)과 제 2 모듈(5402)은 각각 동일한 공정을 수행하도록 제공될 수 있다. 일 예에 의하면, 제 1 모듈(5401)은 제 2 모듈(5402)의 상부에 위치된다.The process module 5400 performs a necessary process before the wafer W is transferred to the pre-exposure processing unit 4000. Process module 5400 generally has the shape of a cuboid. The process module 5400 has a first module 5401 and a second module 5402. The first module 5401 and the second module 5402 are arranged to partition into each other in layers. The first module 5401 and the second module 5402 may each be provided to perform the same process. In one example, the first module 5401 is located on top of the second module 5402.

제 1 모듈(5401)은 현상 챔버(5410), 베이크 챔버(5420), 그리고 반송 챔버(5430)를 가진다. 현상 챔버(5410), 베이크 챔버(5420), 그리고 반송 챔버(5430)는 제 2 방향(14)을 따라 순차적으로 배치된다. 따라서 현상 챔버(5410)와 베이크 챔버(5420)는 반송 챔버(5430)를 사이에 두고 제 2 방향(14)으로 서로 이격되게 위치된다. 현상 챔버(5410)는 복수 개가 제공되며, 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 현상 챔버(5410)가 제공된 예가 도시되었다. 베이크 챔버(5420)는 제 1 방향(12) 및 제 3 방향(16)으로 각각 복수 개씩 제공된다. 도면에서는 6개의 베이크 챔버(5420)가 제공된 예가 도시되었다. 그러나 이와 달리 베이크 챔버(5420)는 더 많은 수로 제공될 수 있다.The first module 5401 has a developing chamber 5410, a bake chamber 5520, and a transfer chamber 5430. The developing chamber 5410, the bake chamber 5520, and the transfer chamber 5430 are sequentially disposed along the second direction 14. Therefore, the developing chamber 5410 and the baking chamber 5520 are positioned to be spaced apart from each other in the second direction 14 with the transfer chamber 5430 interposed therebetween. A plurality of developing chambers 5410 may be provided, and a plurality of developing chambers 5410 may be provided in the first direction 12 and the third direction 16, respectively. In the figure, an example in which six developing chambers 5410 are provided is shown. A plurality of bake chambers 5520 may be provided in the first direction 12 and the third direction 16, respectively. In the figure, an example in which six bake chambers 5520 are provided is shown. Alternatively, however, the bake chamber 5520 may be provided in larger numbers.

반송 챔버(5430)는 버퍼 모듈(5300)의 제 1 버퍼(5320)와 제 1 방향(12)으로 나란하게 위치된다. 반송 챔버(5430) 내에는 제 1 로봇(5432)과 가이드 레일(5433)이 위치된다. 반송 챔버(5430)는 대체로 직사각의 형상을 가진다. 제 1 로봇(5432)은 베이크 챔버들(5420), 현상 챔버들(5410), 제 1 버퍼(5320), 그리고 제 1 냉각 챔버(5340) 간에 웨이퍼(W)를 이송한다. 가이드 레일(3433)은 그 길이 방향이 제 1 방향(12)과 나란하도록 배치된다. 가이드 레일은 제 1 로봇(5432)이 제 1 방향(12)으로 직선 이동되도록 안내한다. 제 1 로봇(5432)은 핸드(5434), 아암(5435), 지지대(5436), 그리고 받침대(5437)를 가진다. 핸드(5434)는 아암(5435)에 고정 설치된다. 아암(5435)은 신축 가능한 구조로 제공되어 핸드(5434)가 수평 방향으로 이동 가능하도록 한다. 지지대(5436)는 그 길이 방향이 제 3 방향(16)을 따라 배치되도록 제공된다. 아암(5435)은 지지대(5436)를 따라 제 3 방향(16)으로 직선 이동 가능하도록 지지대(5436)에 결합된다. 지지대(5436)는 받침대(5437)에 고정 결합된다. 받침대(5437)는 가이드 레일(5433)을 따라 이동 가능하도록 가이드 레일(5433)에 결합된다.The transfer chamber 5430 is positioned side by side in the first direction 12 with the first buffer 5320 of the buffer module 5300. In the transfer chamber 5430, a first robot 5432 and a guide rail 5433 are positioned. The transfer chamber 5430 has a generally rectangular shape. The first robot 5432 transfers the wafer W between the bake chambers 5520, the developing chambers 5410, the first buffer 5320, and the first cooling chamber 5340. The guide rail 3433 is disposed such that its longitudinal direction is parallel to the first direction 12. The guide rail guides the first robot 5432 to move linearly in the first direction 12. The first robot 5432 has a hand 5434, an arm 5535, a support 5434, and a pedestal 5541. The hand 5434 is fixedly mounted to the arm 5535. Arm 5435 is provided in a flexible structure to allow hand 5342 to be movable in the horizontal direction. The support 5436 is provided such that its longitudinal direction is disposed along the third direction 16. Arm 5435 is coupled to support 5434 such that it is linearly movable in a third direction 16 along support 5436. The support 5436 is fixedly coupled to the pedestal 5437. The pedestal 5437 is coupled to the guide rail 5433 to be movable along the guide rail 5433.

현상 챔버들(5410)들은 모두 동일한 구조를 가진다. 다만, 각각의 현상 챔버(5410)에서 사용되는 현상액의 종류는 서로 상이할 수 있다. 현상 챔버(5410)는 웨이퍼(W) 상의 포토 레지스트 중 광이 조사된 영역을 제거한다. 이때, 보호막 중 광이 조사된 영역도 같이 제거된다. 선택적으로 사용되는 포토 레지스트의 종류에 따라 포토 레지스트 및 보호막의 영역들 중 광이 조사되지 않은 영역만이 제거될 수 있다. The developing chambers 5410 all have the same structure. However, the types of the developer used in each of the developing chambers 5410 may be different from each other. The developing chamber 5410 removes the light irradiated region of the photoresist on the wafer (W). At this time, the area irradiated with light in the protective film is also removed. Depending on the kind of photoresist that is optionally used, only the regions of the photoresist and the protective film to which light is not irradiated may be removed.

현상 챔버(5410)는 하우징(5411), 지지 플레이트(5412), 그리고 노즐(5413)을 가진다. 하우징(5411)은 상부가 개방된 컵 형상을 가진다. 지지 플레이트(5412)는 하우징(5411) 내에 위치되며, 웨이퍼(W)를 지지한다. 지지 플레이트(5412)는 회전 가능하게 제공된다. 노즐(5413)은 지지 플레이트(5412)에 놓인 웨이퍼(W) 상으 로 현상액을 공급한다. 노즐(5413)은 원형의 관 형상을 가지고, 웨이퍼(W)의 중심으로 현상액 공급할 수 있다. 선택적으로 노즐(5413)은 웨이퍼(W)의 직경에 상응하는 길이를 가지고, 노즐(5413)의 토출구는 슬릿으로 제공될 수 있다. 또한, 현상 챔버(5410)에는 추가적으로 현상액이 공급된 웨이퍼(W) 표면을 세정하기 위해 탈이온수와 같은 세정액을 공급하는 노즐(5414)이 더 제공될 수 있다. The developing chamber 5410 has a housing 5411, a support plate 5212, and a nozzle 5413. The housing 5411 has a cup shape with an open top. The support plate 5412 is located in the housing 5411 and supports the wafer W. As shown in FIG. The support plate 5212 is provided rotatably. The nozzle 5413 supplies the developer onto the wafer W placed on the support plate 5212. The nozzle 5413 has a circular tubular shape, and the developer can be supplied to the center of the wafer (W). Optionally, the nozzle 5413 has a length corresponding to the diameter of the wafer W, and the ejection openings of the nozzle 5413 may be provided as slits. In addition, the developing chamber 5410 may further be provided with a nozzle 5414 for supplying a cleaning liquid such as deionized water to clean the surface of the wafer W to which the developing solution is supplied.

베이크 챔버(5420)는 웨이퍼(W)를 열처리한다. 예컨대, 베이크 챔버들(5420)은 현상 공정이 수행되기 전에 웨이퍼(W)를 가열하는 포스트 베이크 공정 및 현상 공정이 수행된 후에 웨이퍼(W)를 가열하는 하드 베이크 공정 및 각각의 베이크 공정 이후에 가열된 기판을 냉각하는 냉각 공정 등을 수행한다. 베이크 챔버(5420)는 냉각 플레이트(5421) 또는 가열 플레이트(5422)를 가진다. 냉각 플레이트(5421)에는 냉각수 또는 열전 소자와 같은 냉각 수단(5423)이 제공된다. 또는 가열 플레이트(5422)에는 열선 또는 열전 소자와 같은 가열 수단(5424)이 제공된다. 가열 플레이트(5422)와 냉각 플레이트(5421)는 하나의 베이크 챔버(5420) 내에 각각 제공될 수 있다. 선택적으로 베이크 챔버(5420)들 중 일부는 가열 플레이트(5422)만을 구비하고, 다른 일부는 냉각 플레이트(5421)만을 구비할 수 있다. The bake chamber 5520 heat-treats the wafer (W). For example, the bake chambers 5520 are heated after each baking process and a hard bake process for heating the wafer W after the developing process is performed, and a post bake process for heating the wafer W before the developing process is performed. And a cooling process for cooling the finished substrate. The bake chamber 5520 has a cooling plate 5421 or a heating plate 5542. The cooling plate 5251 is provided with cooling means 5253 such as cooling water or thermoelectric elements. Alternatively, the heating plate 5542 is provided with heating means 5424 such as hot wires or thermoelectric elements. The heating plate 5542 and the cooling plate 5542 may be provided in one bake chamber 5520, respectively. Optionally, some of the bake chambers 5520 may have only a heating plate 5542 and others may have only a cooling plate 5542.

제 2 모듈(5402)은 현상 챔버(5460), 베이크 챔버(5470), 그리고 반송 챔버(5480)를 가진다. 현상 챔버(5460), 베이크 챔버(5470), 그리고 반송 챔버(5480)는 제 1 모듈(5401)의 현상 챔버(5410), 베이크 챔버(5420), 그리고 반송 챔버(5430)와 동일한 구조 및 배치를 가진다. 또한, 반송 챔버(5480)는 제 2 로봇(5482)을 가지며, 제 2 로봇(5482)은 제 1 모듈(5401)에 제공된 제 1 로봇(5432) 과 동일한 구조를 가진다. 제 2 로봇(5482)은 현상 챔버(5460), 베이크 챔버(5470), 제 2 버퍼(5330), 그리고 제 2 냉각 챔버(5350) 간에 웨이퍼(W)를 운반하도록 제공된다.The second module 5402 has a developing chamber 5460, a bake chamber 5470, and a transfer chamber 5480. The developing chamber 5460, the bake chamber 5470, and the transfer chamber 5480 have the same structure and arrangement as the developing chamber 5410, the bake chamber 5520, and the transfer chamber 5430 of the first module 5401. Have In addition, the transfer chamber 5480 has a second robot 5542, which has the same structure as the first robot 5432 provided in the first module 5401. The second robot 5542 is provided to transport the wafer W between the developing chamber 5460, the bake chamber 5470, the second buffer 5330, and the second cooling chamber 5350.

상술한 바와 같이 공정 모듈(5400)에서 제 1 모듈(5401)과 제 2 모듈(5402)은 서로 간에 분리되도록 제공된다. 또한, 상부에서 바라볼 때 제 1 모듈(5401)과 제 2 모듈(5402)은 동일한 구조 및 배치를 가질 수 있다. As described above, in the process module 5400, the first module 5401 and the second module 5402 are provided to be separated from each other. In addition, when viewed from the top, the first module 5401 and the second module 5402 may have the same structure and arrangement.

다음에는 도 13a와 도 13b를 참조하여, 도 10의 현상 유닛(5000)을 이용하여 공정을 수행하는 일 예를 설명한다. 도 13a와 도 13b는 현상 유닛(5000)에서 웨이퍼(W)에 대해 공정이 수행되는 일 예를 보여주는 플로우 차트이다. Next, an example of performing a process using the developing unit 5000 of FIG. 10 will be described with reference to FIGS. 13A and 13B. 13A and 13B are flowcharts illustrating an example in which a process is performed on the wafer W in the developing unit 5000.

웨이퍼들(W)이 수납된 용기(2000)는 로드 포트(5100)의 재치대(5120)에 놓인다(스텝 S312). 도어 오프너에 의해 용기(2000)의 도어가 개방된다. 인덱스 로봇(5220)은 용기(2000)로부터 웨이퍼(W)를 꺼내어 제 2 버퍼(5330)로 운반한다(스텝 S314). 웨이퍼(W)는 제 1 모듈(5401)과 제 2 모듈(5402) 중 선택된 곳으로 이동된다. The container 2000 in which the wafers W are accommodated is placed on the mounting table 5120 of the load port 5100 (step S312). The door of the container 2000 is opened by the door opener. The index robot 5220 removes the wafer W from the container 2000 and carries it to the second buffer 5330 (step S314). The wafer W is moved to a selected place of the first module 5401 and the second module 5402.

웨이퍼(W)가 제 1 모듈(5401)에서 공정이 수행되도록 선택된 경우, 버퍼 로봇(5360)은 제 2 버퍼(5330)에 보관된 웨이퍼(W)를 제 1 버퍼(5320)로 운반한다(스텝 S320). 제 1 로봇(5432)은 제 1 버퍼(5320)로부터 웨이퍼(W)를 꺼내어 제 1 모듈(5401)의 베이크 챔버(5420)로 운반한다(스텝 S322). 베이크 챔버(5420)는 포스트 베이크 및 냉각 공정을 순차적으로 수행한다(스텝 S324). 제 1 로봇(5432)은 베이크 챔버(5420)로부터 웨이퍼(W)를 꺼내어 현상 챔버(5410)로 운반한다(스텝 S326). 현상 챔버(5410)는 웨이퍼(W) 상에 현상액을 공급하여 현상 공정을 수행한다(스텝 S328). 이후 제 1 로봇(5432)은 웨이퍼(W)를 현상 챔버(5410)로부터 베이크 챔버(5420)로 운반한다(스텝 S330). 베이크 챔버(5420)는 웨이퍼(W)에 대해 하드 베이크 공정을 수행한다(스텝 S332). When the wafer W is selected to be processed in the first module 5401, the buffer robot 5530 carries the wafer W stored in the second buffer 5330 to the first buffer 5320 (step). S320). The first robot 5432 removes the wafer W from the first buffer 5320 and transfers the wafer W to the bake chamber 5520 of the first module 5401 (step S322). The bake chamber 5520 sequentially performs the post bake and cooling process (step S324). The first robot 5432 removes the wafer W from the bake chamber 5520 and transfers the wafer W to the developing chamber 5410 (step S326). The developing chamber 5410 supplies a developing solution onto the wafer W to perform a developing process (step S328). Thereafter, the first robot 5432 transfers the wafer W from the developing chamber 5410 to the bake chamber 5420 (step S330). The bake chamber 5520 performs a hard bake process on the wafer W (step S332).

제 1 로봇(5432)은 베이크 챔버(5420)에서 웨이퍼(W)를 꺼내어 제 1 냉각 챔버(5340)로 운반한다(스텝 S334). 제 1 냉각 챔버(5340)는 웨이퍼(W)를 냉각하는 공정을 수행한다(스텝 S336). 인덱스 로봇(5220)은 제 1 냉각 챔버(5340)부터 웨이퍼(W)를 용기(2000)로 운반한다(스텝 S338). The first robot 5432 removes the wafer W from the bake chamber 5520 and transports the wafer W to the first cooling chamber 5340 (step S334). The first cooling chamber 5340 performs a process of cooling the wafer W (step S336). The index robot 5220 carries the wafer W from the first cooling chamber 5340 to the container 2000 (step S338).

웨이퍼(W)가 제 2 모듈(5402)에서 공정이 수행되도록 선택된 경우, 제 2 로봇(5482)은 제 2 버퍼(5330)로부터 웨이퍼(W)를 꺼내어 제 2 모듈(5402)의 베이크 챔버(5470)로 운반한다(스텝 S360). 베이크 챔버(5470)는 포스트 베이크 및 냉각 공정이 순차적으로 수행한다(스텝 S362). 제 2 로봇(5482)은 베이크 챔버(5470)로부터 웨이퍼(W)를 꺼내어 현상 챔버(5460)로 운반한다(스텝 S364). 현상 챔버(5460)는 웨이퍼(W) 상에 현상액을 공급한다(스텝 S366). 이후 제 2 로봇(5482)은 웨이퍼(W)를 현상 챔버(5460)로부터 베이크 챔버(5470)로 운반한다(스텝 S368). 베이크 챔버(5470)는 웨이퍼(W)에 대해 하드 베이크 공정을 수행한다(스텝 S370). When the wafer W is selected to be processed in the second module 5402, the second robot 5542 removes the wafer W from the second buffer 5330 and bakes chamber 5470 of the second module 5402. (Step S360). In the baking chamber 5470, a post bake and a cooling process are performed sequentially (step S362). The second robot 5542 removes the wafer W from the bake chamber 5470 and carries it to the developing chamber 5460 (step S364). The developing chamber 5460 supplies a developing solution onto the wafer W (step S366). Thereafter, the second robot 5542 transfers the wafer W from the developing chamber 5460 to the bake chamber 5470 (step S368). The baking chamber 5470 performs a hard bake process on the wafer W (step S370).

제 2 로봇(5482)은 베이크 챔버(5470)에서 웨이퍼(W)를 꺼내어 제 2 냉각 챔버(5350)로 운반한다(스텝 S372). 제 2 냉각 챔버(5350)는 웨이퍼(W)에 대해 냉각 공정을 수행한다(스텝 S374). 인덱스 로봇(5220)은 제 2 냉각 챔버(5350)로부터 웨이퍼(W)를 용기(2000)로 운반한다(스텝 S376). The second robot 5542 removes the wafer W from the bake chamber 5470 and transfers it to the second cooling chamber 5350 (step S372). The second cooling chamber 5350 performs a cooling process on the wafer W (step S374). The index robot 5220 carries the wafer W from the second cooling chamber 5350 to the container 2000 (step S376).

다음에는 상술한 현상 유닛(5000)의 다양한 변형 예들을 예시한다.Next, various modified examples of the developing unit 5000 described above are illustrated.

공정 모듈(5400)은 서로 층으로 구획된 제 1 모듈(5401)과 제 2 모듈(5402) 대신 하나의 모듈만을 구비할 수 있다. The process module 5400 may include only one module instead of the first module 5401 and the second module 5402 divided into layers.

또한, 인덱스 모듈(5200)에는 제 1 냉각 챔버(5340)와 제 2 냉각 챔버(5350)가 각각 복수 개 제공되고, 이들은 서로 적층되도록 배치될 수 있다. In addition, the index module 5200 may be provided with a plurality of first cooling chambers 5340 and second cooling chambers 5350, respectively, and they may be arranged to be stacked on each other.

또한, 버퍼 모듈(5300)에는 제 1 냉각 챔버(5340) 및 제 2 냉각 챔버(5350)가 제공되지 않을 수도 있다. 이 경우, 웨이퍼(W)는 제 1 모듈(5401)로부터 제 1 로봇(5432)에 의해 직접 제 1 버퍼(5320)로 이송되고, 인덱스 로봇(5220)은 제 1 버퍼(5320)에 보관된 웨이퍼들(W)을 용기(2000)로 운반할 수 있다. 또한, 웨이퍼(W)는 제 2 모듈(5402)로부터 제 2 로봇(5482)에 의해 직접 제 2 버퍼(5330)로 이송되고, 인덱스 로봇(5220)은 제 2 버퍼(5330)에 보관된 웨이퍼들(W)을 용기(2000)로 운반할 수 있다. In addition, the buffer module 5300 may not be provided with the first cooling chamber 5340 and the second cooling chamber 5350. In this case, the wafer W is transferred from the first module 5401 directly to the first buffer 5320 by the first robot 5432, and the index robot 5220 is stored in the first buffer 5320. Field W may be transported into vessel 2000. In addition, the wafer W is transferred from the second module 5402 directly to the second buffer 5330 by the second robot 5542, and the index robot 5220 is a wafer stored in the second buffer 5330. (W) can be conveyed to the vessel 2000.

또한, 버퍼 모듈(5300)에서 제 1 버퍼(5320)와 제 1 냉각 챔버(5340)는 그 위치가 서로 변경될 수 있고, 제 2 버퍼(5330)와 제 2 냉각 챔버(5350)는 그 위치가 서로 변경될 수 있다. In addition, in the buffer module 5300, the positions of the first buffer 5320 and the first cooling chamber 5340 may be changed from each other, and the positions of the second buffer 5330 and the second cooling chamber 5350 may be changed. Can be changed from each other.

또한, 버퍼 모듈(5300)은 그 높이가 공정 모듈(5400)과 동일하게 제공될 수 있다. 이 경우, 선택적으로 인덱스 로봇(5220)은 제 1 버퍼(5320)에 직접 웨이퍼들(W)에 공급할 수 있다. In addition, the buffer module 5300 may be provided at the same height as the process module 5400. In this case, the index robot 5220 may optionally supply the wafers W directly to the first buffer 5320.

또한, 공정 모듈(5400)에서는 상술한 공정과 달리 또 다른 공정이 추가될 수 있다.In addition, in the process module 5400, another process may be added unlike the above-described process.

도 1의 실시예에 의하면, 기판 처리 설비는 도포 공정을 수행하는 유닛, 현상 공정을 수행하는 유닛, 그리고 노광 장치(9000)와 인라인으로 연결되어 노광 전후 처리 공정을 수행하는 유닛이 각각 독립적으로 제공되어 있다. 따라서 도포 및 현상 공정을 동시에 수행하는 모듈이 노광 장치(9000)와 인라인으로 제공되는 설비와 달리, 노광 장치(9000)에서 긴 공정 소요 시간으로 인해 웨이퍼(W)의 적체가 이루어지더라도 도포 유닛(3000)과 현상 유닛(5000)에서는 계속적인 공정 수행이 가능하다.According to the embodiment of FIG. 1, the substrate treating apparatus is independently provided by a unit performing an application process, a unit performing a development process, and a unit connected inline with the exposure apparatus 9000 to perform a pre-exposure treatment process. It is. Therefore, unlike a facility in which a module that simultaneously performs an application and development process is provided in-line with the exposure apparatus 9000, the application unit (even if the accumulation of the wafer W occurs due to a long process time in the exposure apparatus 9000). 3000 and the developing unit 5000 may continuously perform the process.

도 14a 내지 도 14g는 웨이퍼(W) 상의 박막에 패턴이 형성되는 과정을 순차적으로 보여준다.14A to 14G sequentially illustrate a process of forming a pattern on a thin film on a wafer (W).

처음에 증착 장치(도시되지 않음)에서 웨이퍼(W) 상에 박막(102)이 증착된다(도 14a), 웨이퍼(W)는 도포 유닛(3000)으로 운반된다. 도포 유닛(3000)에서 웨이퍼(W) 상에 포토 레지스트(104)가 도포된다(도 14b). 상술한 바와 같이 도포 유닛(3000)에서는 포토 레지스트(104)의 도포 이외에 베이크 공정이나 에지 노광 공정 등의 공정이 더 수행된다. 이후 웨이퍼(W)는 노광 전후 처리 유닛(4000)으로 운반된다. 노광 전후 처리 유닛(4000)의 제 1 모듈(4401)에서 웨이퍼(W) 상에 보호막(106)이 도포된다(도 14c). 상술한 바와 같이 제 1 모듈(4401)에서는 베이크 공정 등의 공정이 더 수행된다. 웨이퍼(W)는 노광 장치(9000)로 운반된다. 노광 장치(9000)는 보호막(106) 및 포토 레지스트(104) 상의 선택된 영역(108)에 광을 조사하여, 그 영역에 제공된 보호막(106) 및 포토 레지스트(104)의 성질을 변화시킨다(도 14d). 노광 전후 처리 유닛(4000)의 제 2 모듈(4402)은 세정 공정 및 노광 후 베이크 공정 등을 수행한다. 노광 후 베이크 공정 수행시 웨이퍼(W)에 잔류하는 세정액이 제거된다. 이후 웨이퍼(W)는 현상 유닛(5000)으로 운반된다. 현상 유닛(5000)에서 보호막(106)과 포토 레지스트들(104) 중 성질이 변화된 영역(108)이 제거된다(도 14e). 상술한 바와 같이 도포 유닛(3000)에서는 현상 공정 이외에 베이크 공정 등의 공정이 더 수행된다. 이후 웨이퍼(W)는 식각 장치(도시되지 않음)로 운반된다. 식각 장치에서 박막 중 노출된 영역(103)이 식각액에 의해 제거된다(도 14f). 이후 웨이퍼(W)는 애싱 장치(도시되지 않음)로 운반된다. 애싱 장치에서 박막(102) 상에 남아 있는 포토 레지스트(104) 및 보호막(106)이 제거된다(도 14g). 증착 장치, 도포 유닛(3000), 노광 전후 처리 유닛(4000), 현상 유닛(5000), 식각 장치, 그리고 애싱 장치 간에 웨이퍼(W)가 이동되는 동안 필요에 따라 웨이퍼(W)를 세정하는 공정 등과 같은 다른 공정이 수행될 수 있다.Initially, a thin film 102 is deposited on the wafer W in a deposition apparatus (not shown) (FIG. 14A), and the wafer W is conveyed to the application unit 3000. In the coating unit 3000, a photoresist 104 is applied onto the wafer W (FIG. 14B). As described above, the coating unit 3000 may further perform a process such as a baking process or an edge exposure process in addition to the application of the photoresist 104. The wafer W is then conveyed to the pre- and post-exposure processing unit 4000. The protective film 106 is coated on the wafer W in the first module 4401 of the pre-exposure before and after processing unit 4000 (FIG. 14C). As described above, a process such as a baking process is further performed in the first module 4401. The wafer W is conveyed to the exposure apparatus 9000. The exposure apparatus 9000 irradiates light to the selected region 108 on the protective film 106 and the photoresist 104 to change the properties of the protective film 106 and the photoresist 104 provided in the region (FIG. 14D). ). The second module 4402 of the pre-exposure before and after processing unit 4000 performs a cleaning process and a post-exposure bake process. During the post-exposure bake process, the cleaning liquid remaining on the wafer W is removed. The wafer W is then conveyed to the developing unit 5000. In the developing unit 5000, the region 108 whose properties are changed among the protective film 106 and the photoresist 104 is removed (FIG. 14E). As described above, in the coating unit 3000, a process such as a baking process is further performed in addition to the developing process. The wafer W is then conveyed to an etching apparatus (not shown). In the etching apparatus, the exposed region 103 of the thin film is removed by the etching liquid (FIG. 14F). The wafer W is then conveyed to an ashing device (not shown). In the ashing apparatus, the photoresist 104 and the protective film 106 remaining on the thin film 102 are removed (FIG. 14G). A process of cleaning the wafer W as needed while the wafer W is moved between the deposition apparatus, the coating unit 3000, the pre-exposure processing unit 4000, the developing unit 5000, the etching apparatus, and the ashing apparatus, and the like. The same other process can be performed.

도 1은 본 발명의 일 실시예에 따른 기판 처리 시스템을 개략적으로 보여주는 도면이다. 1 is a schematic view of a substrate processing system according to an embodiment of the present invention.

도 2 내지 도 4는 본 발명의 일 실시예에 따른 도포 유닛의 구조를 개략적으로 보여주는 도면들이다. 2 to 4 are schematic views showing the structure of an application unit according to an embodiment of the present invention.

도 5a와 도 5b는 도 2의 도포 유닛에서 공정이 수행되는 과정을 순차적으로 보여주는 플로우 차트이다.5A and 5B are flowcharts sequentially illustrating a process of performing a process in the coating unit of FIG. 2.

도 6 내지 도 8은 본 발명의 일 실시예에 따른 노광 후 베이크 유닛의 구조를 개략적으로 보여주는 도면들이다.6 to 8 are schematic views illustrating a structure of a post-exposure bake unit according to an exemplary embodiment of the present invention.

도 9는 도 6의 도포 유닛에서 공정이 수행되는 과정을 순차적으로 보여주는 플로우 차트이다.9 is a flowchart sequentially illustrating a process of performing a process in the coating unit of FIG. 6.

도 10 내지 도 12는 본 발명의 일 실시예에 따른 현상 유닛의 구조를 개략적으로 보여주는 도면들이다.10 to 12 are schematic views showing the structure of a developing unit according to an embodiment of the present invention.

도 13a와 도 13b는 도 10의 현상 유닛에서 공정이 수행되는 과정을 순차적으로 보여주는 플로우 차트이다.13A and 13B are flowcharts sequentially illustrating a process of performing a process in the developing unit of FIG. 10.

도 14a 내지 도 14g는 웨이퍼 상에 패턴이 형성되는 과정을 순차적으로 보여준다.14A through 14G sequentially illustrate a process of forming a pattern on a wafer.

* 도면의 주요 부분에 대한 부호의 설명 *Explanation of symbols on the main parts of the drawings

1000 : 용기1000: Container

3000 : 도포 유닛3000: Dispensing Unit

4000 : 노광 후 베이크 유닛4000: Bake unit after exposure

5000 : 현상 유닛5000: developing unit

3100, 4100, 5100 : 로드 포트3100, 4100, 5100: Load port

3200, 4200, 5200 : 인덱스 모듈3200, 4200, 5200: Index Module

3300, 4300, 5300 : 버퍼 모듈3300, 4300, 5300: Buffer Module

3400, 4400, 5400 : 공정모듈3400, 4400, 5400: Process Module

3500 : 에지 노광 모듈3500: Edge Exposure Module

4500 : 인터페이스 모듈4500: interface module

Claims (35)

기판에 대해 도포 공정을 수행하는 도포 유닛과;An application unit which performs an application process on the substrate; 노광 공정을 수행하는 노광 장치와 연결되고, 상기 도포 유닛에서 공정이 수행된 기판에 대해 노광 전후 처리 공정을 수행하는 노광 전후 처리 유닛과; 그리고A pre-exposure before and after processing unit connected to an exposure apparatus that performs an exposure process, and performing a pre-exposure treatment process on a substrate on which the process is performed in the coating unit; And 상기 노광 전후 처리 유닛에서 공정이 수행된 기판에 대해 현상 공정을 수행하는 현상 유닛을 포함하되, And a developing unit performing a developing process on the substrate on which the process is performed in the pre-exposure before and after processing unit. 상기 도포 유닛, 상기 노광 전후 처리 유닛, 그리고 상기 현상 유닛은, 각각 웨이퍼들이 수납된 용기가 놓이는 로드 포트, 상기 용기로 기판을 넣거나 상기 용기로부터 기판을 꺼내는 인덱스 모듈, 그리고 기판 상에 소정의 공정을 수행하는 공정 모듈을 포함하되, 상기 로드 포트, 상기 인덱스 모듈, 그리고 상기 공정 모듈은 순차적으로 배치되고, The coating unit, the pre-exposure processing unit, and the developing unit each include a load port in which a container containing wafers is placed, an index module for inserting a substrate into or removing a substrate from the container, and a predetermined process on the substrate. Including a process module to perform, wherein the load port, the index module, and the process module is disposed sequentially, 상기 노광 전후 처리 유닛은 상기 노광 장치와 연결되는 인터페이스 모듈을 더 포함하고, 상기 인터페이스 모듈은 상기 공정 모듈을 기준으로 상기 인덱스 모듈의 반대 쪽에 배치되며,The pre-exposure before and after processing unit further includes an interface module connected to the exposure apparatus, wherein the interface module is disposed on the opposite side of the index module based on the process module, 상기 노광 전후 처리 유닛의 공정 모듈은 서로 층으로 구획되는 제 1 모듈과 제 2 모듈을 포함하고, The process module of the pre-exposure before and after processing unit includes a first module and a second module partitioned into layers, 상기 제 1 모듈은,The first module, 기판 상에 보호막을 도포하는 보호막 도포 챔버와;A protective film applying chamber for applying a protective film on the substrate; 기판에 대해 열처리를 수행하는 베이크 챔버와;A bake chamber for performing heat treatment on the substrate; 상기 보호막 도포 챔버와 상기 베이크 챔버 간에 기판을 운반하는 제 1 로봇을 더 포함하는 것을 특징으로 하는 기판 처리 시스템.And a first robot for transporting the substrate between the protective film application chamber and the bake chamber. 삭제delete 삭제delete 제 1 항에 있어서,The method of claim 1, 상기 제 2 모듈은 기판을 세정하는 세정 챔버를 더 포함하는 것을 특징으로 하는 기판 처리 시스템. And the second module further comprises a cleaning chamber for cleaning the substrate. 제 4 항에 있어서,The method of claim 4, wherein 상기 제 2 모듈은,The second module, 노광된 기판에 대해 노광 후 베이크 공정을 수행하는 노광 후 베이크 챔버와;A post-exposure bake chamber for performing a post-exposure bake process on the exposed substrate; 상기 세정 챔버 및 상기 노광 후 베이크 챔버 간에 기판을 운반하는 제 2 로봇을 더 포함하는 것을 특징으로 하는 기판 처리 시스템.And a second robot for transporting the substrate between the cleaning chamber and the post exposure bake chamber. 기판에 대해 도포 공정을 수행하는 도포 유닛과;An application unit which performs an application process on the substrate; 노광 공정을 수행하는 노광 장치와 연결되고, 상기 도포 유닛에서 공정이 수행된 기판에 대해 노광 전후 처리 공정을 수행하는 노광 전후 처리 유닛과; 그리고A pre-exposure before and after processing unit connected to an exposure apparatus that performs an exposure process, and performing a pre-exposure treatment process on a substrate on which the process is performed in the coating unit; And 상기 노광 전후 처리 유닛에서 공정이 수행된 기판에 대해 현상 공정을 수행하는 현상 유닛을 포함하되, And a developing unit performing a developing process on the substrate on which the process is performed in the pre-exposure before and after processing unit. 상기 도포 유닛, 상기 노광 전후 처리 유닛, 그리고 상기 현상 유닛은, 각각 웨이퍼들이 수납된 용기가 놓이는 로드 포트, 상기 용기로 기판을 넣거나 상기 용기로부터 기판을 꺼내는 인덱스 모듈, 그리고 기판 상에 소정의 공정을 수행하는 공정 모듈을 포함하되, 상기 로드 포트, 상기 인덱스 모듈, 그리고 상기 공정 모듈은 순차적으로 배치되고, The coating unit, the pre-exposure processing unit, and the developing unit each include a load port in which a container containing wafers is placed, an index module for inserting a substrate into or removing a substrate from the container, and a predetermined process on the substrate. Including a process module to perform, wherein the load port, the index module, and the process module is disposed sequentially, 상기 노광 전후 처리 유닛은 상기 노광 장치와 연결되는 인터페이스 모듈을 더 포함하고, 상기 인터페이스 모듈은 상기 공정 모듈을 기준으로 상기 인덱스 모듈의 반대 쪽에 배치되며,The pre-exposure before and after processing unit further includes an interface module connected to the exposure apparatus, wherein the interface module is disposed on the opposite side of the index module based on the process module, 상기 노광 전후 처리 유닛의 공정 모듈은 서로 층으로 구획되는 제 1 모듈과 제 2 모듈을 포함하고,The process module of the pre-exposure before and after processing unit includes a first module and a second module partitioned into layers, 상기 노광 전후 처리 유닛은 상기 인덱스 모듈과 상기 공정 모듈 사이에 배치된 버퍼 모듈을 더 포함하되,The pre-exposure before and after processing unit further includes a buffer module disposed between the index module and the process module, 상기 버퍼 모듈은,The buffer module, 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼와;A first buffer disposed at a height corresponding to the first module and temporarily storing a substrate; 상기 제 2 모듈과 대응되는 높이에 배치되며, 기판을 일시적으로 보관하는 제 2 버퍼를 포함하는 것을 특징으로 하는 기판 처리 시스템.And a second buffer disposed at a height corresponding to the second module and temporarily storing the substrate. 제 6 항에 있어서,The method of claim 6, 상기 제 1 버퍼와 상기 제 2 버퍼 각각은 서로 적층되도록 배치되며, 기판이 놓이는 복수의 지지대들을 포함하는 것을 특징으로 하는 기판 처리 시스템.And the first buffer and the second buffer are arranged to be stacked on each other, and include a plurality of supports on which a substrate is placed. 제 7 항에 있어서,The method of claim 7, wherein 상기 노광 전후 처리 유닛의 버퍼 모듈은 상기 제 1 버퍼와 상기 제 2 버퍼 간에 기판을 운반하는 버퍼 로봇을 더 포함하는 것을 특징으로 하는 기판 처리 시스템.The buffer module of the pre-exposure before and after processing unit further comprises a buffer robot for transporting the substrate between the first buffer and the second buffer. 제 8 항에 있어서,The method of claim 8, 상기 제 1 버퍼와 상기 제 2 버퍼는 상하 방향으로 나란하게 배치된 것을 특징으로 하는 기판 처리 시스템.And the first buffer and the second buffer are arranged side by side in the vertical direction. 제 6 항에 있어서,The method of claim 6, 상기 버퍼 모듈은 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 냉각하는 냉각 챔버를 더 포함하는 것을 특징으로 하는 기판 처리 시스템.The buffer module further comprises a cooling chamber disposed at a height corresponding to the first module and cooling the substrate. 기판에 대해 도포 공정을 수행하는 도포 유닛과;An application unit which performs an application process on the substrate; 노광 공정을 수행하는 노광 장치와 연결되고, 상기 도포 유닛에서 공정이 수행된 기판에 대해 노광 전후 처리 공정을 수행하는 노광 전후 처리 유닛과; 그리고A pre-exposure before and after processing unit connected to an exposure apparatus that performs an exposure process, and performing a pre-exposure treatment process on a substrate on which the process is performed in the coating unit; And 상기 노광 전후 처리 유닛에서 공정이 수행된 기판에 대해 현상 공정을 수행하는 현상 유닛을 포함하되, And a developing unit performing a developing process on the substrate on which the process is performed in the pre-exposure before and after processing unit. 상기 도포 유닛, 상기 노광 전후 처리 유닛, 그리고 상기 현상 유닛은, 각각 웨이퍼들이 수납된 용기가 놓이는 로드 포트, 상기 용기로 기판을 넣거나 상기 용기로부터 기판을 꺼내는 인덱스 모듈, 그리고 기판 상에 소정의 공정을 수행하는 공정 모듈을 포함하되, 상기 로드 포트, 상기 인덱스 모듈, 그리고 상기 공정 모듈은 순차적으로 배치되고, The coating unit, the pre-exposure processing unit, and the developing unit each include a load port in which a container containing wafers is placed, an index module for inserting a substrate into or removing a substrate from the container, and a predetermined process on the substrate. Including a process module to perform, wherein the load port, the index module, and the process module is disposed sequentially, 상기 노광 전후 처리 유닛은 상기 노광 장치와 연결되는 인터페이스 모듈을 더 포함하고, 상기 인터페이스 모듈은 상기 공정 모듈을 기준으로 상기 인덱스 모듈의 반대 쪽에 배치되며,The pre-exposure before and after processing unit further includes an interface module connected to the exposure apparatus, wherein the interface module is disposed on the opposite side of the index module based on the process module, 상기 노광 전후 처리 유닛의 공정 모듈은 서로 층으로 구획되는 제 1 모듈과 제 2 모듈을 포함하고,The process module of the pre-exposure before and after processing unit includes a first module and a second module partitioned into layers, 상기 인터페이스 모듈은,The interface module, 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼와;A first buffer disposed at a height corresponding to the first module and temporarily storing a substrate; 상기 제 2 모듈과 대응되는 높이에 배치되며, 기판을 일시적으로 보관하는 제 2 버퍼와, 그리고A second buffer disposed at a height corresponding to the second module and temporarily storing the substrate, and 상기 제 1 버퍼와 상기 노광 장치, 그리고 상기 제 2 버퍼와 상기 노광 장치 간에 기판을 운반하는 인터페이스 로봇을 포함하는 것을 특징으로 하는 기판 처리 시스템.And an interface robot for transporting a substrate between the first buffer and the exposure apparatus and between the second buffer and the exposure apparatus. 기판에 대해 도포 공정을 수행하는 도포 유닛과;An application unit which performs an application process on the substrate; 노광 공정을 수행하는 노광 장치와 연결되고, 상기 도포 유닛에서 공정이 수행된 기판에 대해 노광 전후 처리 공정을 수행하는 노광 전후 처리 유닛과; 그리고A pre-exposure before and after processing unit connected to an exposure apparatus that performs an exposure process, and performing a pre-exposure treatment process on a substrate on which the process is performed in the coating unit; And 상기 노광 전후 처리 유닛에서 공정이 수행된 기판에 대해 현상 공정을 수행하는 현상 유닛을 포함하되, And a developing unit performing a developing process on the substrate on which the process is performed in the pre-exposure before and after processing unit. 상기 도포 유닛, 상기 노광 전후 처리 유닛, 그리고 상기 현상 유닛은, 각각 웨이퍼들이 수납된 용기가 놓이는 로드 포트, 상기 용기로 기판을 넣거나 상기 용기로부터 기판을 꺼내는 인덱스 모듈, 그리고 기판 상에 소정의 공정을 수행하는 공정 모듈을 포함하되, 상기 로드 포트, 상기 인덱스 모듈, 그리고 상기 공정 모듈은 순차적으로 배치되고, The coating unit, the pre-exposure processing unit, and the developing unit each include a load port in which a container containing wafers is placed, an index module for inserting a substrate into or removing a substrate from the container, and a predetermined process on the substrate. Including a process module to perform, wherein the load port, the index module, and the process module is disposed sequentially, 상기 노광 전후 처리 유닛은 상기 노광 장치와 연결되는 인터페이스 모듈을 더 포함하고, 상기 인터페이스 모듈은 상기 공정 모듈을 기준으로 상기 인덱스 모듈의 반대 쪽에 배치되며,The pre-exposure before and after processing unit further includes an interface module connected to the exposure apparatus, wherein the interface module is disposed on the opposite side of the index module based on the process module, 상기 도포 유닛은 에지 노광 모듈을 더 포함하되,The coating unit further includes an edge exposure module, 상기 에지 노광 모듈은 상기 공정 모듈을 기준으로 상기 인덱스 모듈의 반대쪽에 배치되는 것을 특징으로 하는 기판 처리 시스템.And the edge exposure module is disposed opposite the index module with respect to the process module. 삭제delete 삭제delete 삭제delete 삭제delete 포토 레지스트가 도포된 기판에 대해 노광 전 및 노광 후에 요구되는 공정을 수행하는 노광 전후 처리 유닛에 있어서,A pre- and post-exposure processing unit for performing a process required before and after exposure to a substrate to which a photoresist is applied, 기판들이 수납된 용기가 놓이는 로드 포트와;A load port on which a container containing the substrates is placed; 상기 용기로부터 기판을 꺼내거나 상기 용기로 기판을 넣는 인덱스 모듈과;An index module for removing the substrate from or placing the substrate into the container; 기판들에 대해 공정을 수행하는 공정 모듈과; 그리고A process module for performing a process on the substrates; And 노광 장치와 연결되는 인터페이스 모듈을 포함하되,Including an interface module connected to the exposure apparatus, 상기 로드 포트, 상기 인덱스 모듈, 상기 공정 모듈, 그리고 상기 인터페이스 모듈은 제 1 방향을 따라 순차적으로 배치되고,The load port, the index module, the process module, and the interface module are sequentially disposed in a first direction, 상기 공정 모듈은 서로 층으로 구획되게 배치되는 제 1 모듈과 제 2 모듈을 포함하되,The process module includes a first module and a second module disposed to be partitioned into each other, 상기 제 1 모듈에는 기판 상에 보호막을 도포하는 보호막 도포 챔버가 제공되고, The first module is provided with a protective film applying chamber for applying a protective film on a substrate, 상기 제 2 모듈에는 기판을 세정하는 세정 챔버가 제공되는 것을 특징으로 하는 노광 전후 처리 유닛.And the second module is provided with a cleaning chamber for cleaning the substrate. 제 17 항에 있어서,The method of claim 17, 상기 공정 모듈은,The process module, 상기 제 1 모듈에 배치되며, 기판에 대해 열처리를 수행하는 베이크 챔버와;A baking chamber disposed in the first module and performing heat treatment on the substrate; 상기 제 1 모듈에 배치되며, 상기 보호막 도포 챔버와 상기 베이크 챔버 간에 기판을 운반하는 제 1 로봇과;A first robot disposed in the first module and transferring a substrate between the protective film applying chamber and the bake chamber; 상기 제 2 모듈에 배치되며, 노광된 기판에 대해 노광 후 베이크 공정을 수행하는 노광 후 베이크 챔버와; 그리고A post-exposure bake chamber disposed in the second module and performing a post-exposure bake process on the exposed substrate; And 상기 제 2 모듈에 배치되며, 상기 세정 챔버 및 상기 노광 후 베이크 챔버 간에 기판을 운반하는 제 2 로봇을 포함하는 것을 특징으로 하는 노광 전후 처리 유닛.And a second robot disposed in the second module to transport a substrate between the cleaning chamber and the post-exposure bake chamber. 제 17항에 있어서,The method of claim 17, 상기 노광 전후 처리 유닛은 상기 인덱스 모듈과 상기 공정 모듈 사이에 배치된 버퍼 모듈을 더 포함하되,The pre-exposure before and after processing unit further includes a buffer module disposed between the index module and the process module, 상기 버퍼 모듈은, The buffer module, 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼와;A first buffer disposed at a height corresponding to the first module and temporarily storing a substrate; 상기 제 2 모듈과 대응되는 높이에 배치되며, 기판을 일시적으로 보관하는 제 2 버퍼를 포함하는 것을 특징으로 하는 노광 전후 처리 유닛.And a second buffer disposed at a height corresponding to the second module and temporarily storing the substrate. 제 19 항에 있어서,The method of claim 19, 상기 제 1 버퍼와 상기 제 2 버퍼 각각은 서로 적층되도록 배치되며, 기판이 놓이는 복수의 지지대들을 포함하는 것을 특징으로 하는 노광 전후 처리 유닛.And each of the first buffer and the second buffer is disposed to be stacked on each other, and includes a plurality of supports on which a substrate is placed. 제 20 항에 있어서,The method of claim 20, 상기 노광 전후 처리 유닛의 버퍼 모듈은 상기 제 1 버퍼와 상기 제 2 버퍼 간에 기판을 운반하는 버퍼 로봇을 더 포함하는 것을 특징으로 하는 노광 전후 처리 유닛.The buffer module of the pre-exposure before and after processing unit further comprises a buffer robot for transporting the substrate between the first buffer and the second buffer. 제 21 항에 있어서,The method of claim 21, 상기 제 1 버퍼와 상기 제 2 버퍼는 상하 방향으로 나란하게 배치된 것을 특 징으로 하는 노광 전후 처리 유닛.And the first buffer and the second buffer are arranged side by side in the vertical direction. 제 19 항에 있어서,The method of claim 19, 상기 버퍼 모듈은 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 냉각하는 냉각 챔버를 더 포함하는 것을 특징으로 하는 노광 전후 처리 유닛.The buffer module further includes a cooling chamber disposed at a height corresponding to the first module and cooling the substrate. 제 17 항에 있어서,The method of claim 17, 상기 인터페이스 모듈은,The interface module, 상기 제 1 모듈과 대응되는 높이에 배치되며, 기판을 일시적으로 보관하는 제 1 버퍼와;A first buffer disposed at a height corresponding to the first module and temporarily storing a substrate; 상기 제 2 모듈과 대응되는 높이에 배치되며, 기판을 일시적으로 보관하는 제 2 버퍼와;A second buffer disposed at a height corresponding to the second module and temporarily storing the substrate; 상기 제 1 버퍼와 상기 노광 장치 간에, 그리고 상기 제 2 버퍼와 상기 노광 장치 간에 기판을 운반하는 인터페이스 로봇을 포함하는 것을 특징으로 하는 노광 전후 처리 유닛.And an interface robot for transporting a substrate between the first buffer and the exposure apparatus and between the second buffer and the exposure apparatus. 제 24 항에 있어서,25. The method of claim 24, 상기 제 1 버퍼와 상기 제 2 버퍼 각각은 서로 적층되도록 배치되며, 기판이 놓이는 복수의 지지대들을 포함하는 것을 특징으로 하는 노광 전후 처리 유닛.And each of the first buffer and the second buffer is disposed to be stacked on each other, and includes a plurality of supports on which a substrate is placed. 포토 레지스트가 도포된 기판에 대해 노광 전 및 노광 후에 요구되는 공정을 수행하는 노광 전후 처리 유닛에 있어서,A pre- and post-exposure processing unit for performing a process required before and after exposure to a substrate to which a photoresist is applied, 기판들이 수납된 용기가 놓이는 로드 포트, 상기 용기로 기판을 넣거나 상기 용기로부터 기판을 꺼내는 인덱스 모듈, 기판들에 대해 공정을 수행하는 공정 모듈, 상기 인덱스 모듈과 상기 공정 모듈 사이에 배치되는 버퍼 모듈, 그리고 노광 장치와 연결되는 인터페이스 모듈을 포함하되,A load port in which a container containing substrates is placed, an index module for loading or removing a substrate into the container, a process module performing a process on the substrates, a buffer module disposed between the index module and the process module, And an interface module connected to the exposure apparatus. 상기 로드 포트, 상기 인덱스 모듈, 상기 버퍼 모듈, 상기 공정 모듈, 그리고 상기 인터페이스 모듈은 제 1 방향을 따라 순차적으로 배치되고,The load port, the index module, the buffer module, the process module, and the interface module are sequentially disposed in a first direction, 상기 공정 모듈은 서로 층으로 구획되는 제 1 모듈과 제 2 모듈을 포함하되,The process module includes a first module and a second module partitioned into each other, 상기 제 1 모듈은,The first module, 기판 상에 보호막을 도포하는 보호막 도포 챔버와;A protective film applying chamber for applying a protective film on the substrate; 기판에 대해 열처리를 수행하는 베이크 챔버와;A bake chamber for performing heat treatment on the substrate; 상기 보호막 도포 챔버, 상기 베이크 챔버, 상기 버퍼 모듈, 그리고 상기 인터페이스 모듈 간에 기판을 반송하는 제 1 로봇이 제공된 반송 챔버를 포함하고,A transfer chamber provided with a first robot for transferring a substrate between the protective film applying chamber, the bake chamber, the buffer module, and the interface module, 상기 제 2 모듈은,The second module, 기판을 세정하는 세정 챔버와;A cleaning chamber for cleaning the substrate; 기판에 대해 노광 후 베이크 공정을 수행하는 노광 후 베이크 챔버와;A post-exposure bake chamber for performing a post-exposure bake process on the substrate; 상기 세정 챔버, 상기 노광 후 베이크 챔버, 상기 버퍼 모듈, 그리고 상기 인터페이스 모듈 간에 기판을 반송하는 제 2 로봇이 제공된 반송 챔버를 포함하는 것을 특징으로 하는 노광 전후 처리 유닛.And a transfer chamber provided with a second robot for transferring a substrate between the cleaning chamber, the post-exposure bake chamber, the buffer module, and the interface module. 제 26 항에 있어서,The method of claim 26, 상기 보호막 도포 챔버, 상기 제 1 로봇이 제공된 반송 챔버, 상기 베이크 챔버는 상기 제 1 방향과 수직한 제 2 방향을 따라 순차적으로 배치되고,The protective film applying chamber, the transfer chamber provided with the first robot, and the bake chamber are sequentially disposed along a second direction perpendicular to the first direction, 상기 세정 챔버, 상기 제 2 로봇이 제공된 반송 챔버, 상기 노광 후 베이크 챔버는 상기 제 2 방향을 따라 순차적으로 배치된 것을 특징으로 하는 노광 전후 처리 유닛.And the cleaning chamber, the transfer chamber provided with the second robot, and the post-exposure bake chamber are sequentially arranged along the second direction. 제 27 항에 있어서,28. The method of claim 27, 상기 제 1 모듈은 상기 제 2 모듈의 상부에 배치되고,The first module is disposed above the second module, 상기 버퍼 모듈은,The buffer module, 상기 제 1 모듈과 대응되는 높이에 배치되며 기판을 일시적으로 보관하는 제 1 버퍼와;A first buffer disposed at a height corresponding to the first module and temporarily storing a substrate; 상기 제 2 모듈과 대응되는 높이에 배치되며, 기판을 냉각하는 냉각 챔버를 포함하고,A cooling chamber disposed at a height corresponding to the second module and cooling the substrate; 상기 제 1 버퍼와 상기 냉각 챔버는 상하 방향으로 일렬로 배치되며,The first buffer and the cooling chamber are arranged in a line in the vertical direction, 상기 제 1 버퍼는 상부에서 바라볼 때 상기 제 1 모듈의 반송 챔버와 상기 제 1 방향을 따라 일렬로 배치되는 것을 특징으로 하는 노광 전후 처리 유닛. And the first buffer is disposed in a line along the first direction with the transfer chamber of the first module when viewed from the top. 제 28 항에 있어서,29. The method of claim 28, 상기 버퍼 모듈은,The buffer module, 상기 제 2 모듈과 대응되는 높이에 배치되며, 기판을 일시적으로 보관하는 제 2 버퍼와;A second buffer disposed at a height corresponding to the second module and temporarily storing the substrate; 상기 제 1 버퍼 및 상기 제 2 버퍼 간에 기판을 운반하는 버퍼 로봇을 더 포함하되,Further comprising a buffer robot for transporting the substrate between the first buffer and the second buffer, 상기 제 1 버퍼 및 상기 버퍼 로봇은 상부에서 바라볼 때 상기 제 1 방향과 수직한 제 2 방향을 따라 배치되는 것을 특징으로 하는 노광 전후 처리 유닛.And the first buffer and the buffer robot are disposed along a second direction perpendicular to the first direction when viewed from the top. 기판을 처리하는 방법에 있어서,In the method of processing a substrate, 기판 상에 포토 레지스트를 도포하는 공정을 수행하는 단계와;Performing a process of applying photoresist on the substrate; 상기 포토 레지스트가 도포된 기판 상에 보호막을 도포하는 공정을 수행하는 단계와;Performing a process of applying a protective film on the substrate to which the photoresist is applied; 상기 보호막이 도포된 기판에 대해 액침 노광 공정을 수행하는 단계와;Performing a liquid immersion exposure process on the substrate coated with the protective film; 상기 액침 노광이 수행된 기판을 세정하는 공정을 수행하는 단계와; 그리고Performing a process of cleaning the substrate on which the immersion exposure has been performed; And 상기 기판에 대해 현상 공정을 수행하는 단계를 포함하되,Performing a development process on the substrate, 상기 보호막을 도포하는 공정을 수행하는 단계와 상기 세정하는 공정을 수행하는 단계는 상기 액침 노광 공정을 수행하는 노광 장치와 인라인으로 연결된 노광 전후 처리 유닛에서 이루어지고,The performing of the process of applying the protective film and the performing of the cleaning process are performed in an exposure pre-exposure processing unit connected inline with an exposure apparatus that performs the liquid immersion exposure process, 상기 포토 레지스트를 도포하는 공정을 수행하는 단계는 상기 노광 전후 처리 유닛과 분리되어 배치된 도포 유닛에서 이루어지고,The step of applying the photoresist is performed in a coating unit disposed separately from the pre-exposure processing unit, 상기 현상 공정을 수행하는 단계는 상기 노광 전후 처리 유닛과 분리되어 배치된 도포 유닛에서 이루어지는 것을 특징으로 하는 기판 처리 방법.And performing the developing step is performed in a coating unit disposed separately from the pre-exposure before and after processing unit. 제 30 항에 있어서,31. The method of claim 30, 상기 기판을 세정하는 공정과 상기 기판에 대해 현상 공정을 수행하는 단계 사이에, 상기 기판에 대해 노광 후 베이크 공정을 수행하는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.And performing a post-exposure bake process on the substrate, between the cleaning of the substrate and the developing process on the substrate. 제 31 항에 있어서,The method of claim 31, wherein 상기 기판을 세정하는 공정은 세정액을 기판으로 공급하여 이루어지고,The process of cleaning the substrate is made by supplying a cleaning liquid to the substrate, 상기 기판 상에 잔류하는 세정액은 유체의 공급에 의한 건조 없이 기판의 가열에 의해 제거되는 것을 특징으로 하는 기판 처리 방법.The cleaning liquid remaining on the substrate is removed by heating of the substrate without drying by supply of a fluid. 제 31 항에 있어서,The method of claim 31, wherein 상기 기판을 세정하는 공정은 세정액을 이용하여 기판을 세정하고, The process of cleaning the substrate is to wash the substrate using a cleaning liquid, 상기 기판 상에 잔류하는 세정액을 제거하는 공정은 상기 기판을 세정하는 공정의 직후에 이루어지는 상기 노광 후 베이크 공정이 수행되는 것을 특징으로 하는 기판 처리 방법.The process of removing the cleaning liquid remaining on the substrate is performed after the post-exposure bake process performed immediately after the process of cleaning the substrate. 제 30 항에 있어서,31. The method of claim 30, 상기 보호막은 상기 노광 전후 처리 유닛의 외부에서 제거되는 것을 특징으로 하는 기판 처리 방법.And the protective film is removed from the outside of the pre- and post-exposure processing unit. 제 30 항에 있어서,31. The method of claim 30, 상기 보호막의 일부는 상기 현상 공정에서 제거되고, 나머지 일부는 애싱 공정에서 제거되는 것을 특징으로 하는 기판 처리 방법.A portion of the protective film is removed in the developing step, and a part of the protective film is removed in the ashing step.
KR1020090027373A 2009-01-30 2009-03-31 System and method for treating substrates KR101109074B1 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
CN 201010106018 CN101794710B (en) 2009-01-30 2010-01-29 Method and system for treating substrate
TW099102594A TWI424278B (en) 2009-01-30 2010-01-29 Exposure treatment unit
US12/656,425 US8289496B2 (en) 2009-01-30 2010-01-29 System and method for treating substrate
TW102133483A TWI509371B (en) 2009-01-30 2010-01-29 System and method for treating substrate
JP2010019559A JP5181306B2 (en) 2009-01-30 2010-01-29 Substrate processing system, pre- and post-exposure processing unit, and substrate processing method
US13/587,512 US9059224B2 (en) 2009-01-30 2012-08-16 System and method for treating substrate

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20090007626 2009-01-30
KR1020090007626 2009-01-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020110113886A Division KR20110135898A (en) 2009-01-30 2011-11-03 System and method for treating substrates

Publications (2)

Publication Number Publication Date
KR20100088505A KR20100088505A (en) 2010-08-09
KR101109074B1 true KR101109074B1 (en) 2012-02-20

Family

ID=42754716

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020090027373A KR101109074B1 (en) 2009-01-30 2009-03-31 System and method for treating substrates
KR1020110113886A KR20110135898A (en) 2009-01-30 2011-11-03 System and method for treating substrates

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020110113886A KR20110135898A (en) 2009-01-30 2011-11-03 System and method for treating substrates

Country Status (2)

Country Link
KR (2) KR101109074B1 (en)
TW (2) TWI509371B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10160001B2 (en) 2015-10-29 2018-12-25 Semes Co., Ltd. Substrate treating apparatus

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101313656B1 (en) * 2011-08-29 2013-10-02 주식회사 케이씨텍 In-line apparatus for developing and method of fabricating liquid crystal display device using thereof
US10409174B2 (en) 2014-06-16 2019-09-10 Asml Netherlands B.V. Lithographic apparatus, method of transferring a substrate and device manufacturing method
KR102316618B1 (en) * 2014-12-30 2021-10-22 세메스 주식회사 Buffer unit, Apparatus for treating a substrate including the unit
KR102262113B1 (en) * 2018-12-18 2021-06-11 세메스 주식회사 Apparatus and method for treating substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100587A (en) * 2001-09-21 2003-04-04 Dainippon Screen Mfg Co Ltd Substrate treatment system
KR20060097613A (en) * 2005-03-11 2006-09-14 동경 엘렉트론 주식회사 Coating/developing device and method
KR20060135531A (en) * 2005-06-24 2006-12-29 다이닛뽕스크린 세이조오 가부시키가이샤 Substrate processing apparatus
KR20080076713A (en) * 2007-02-14 2008-08-20 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus and substrate processing method

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7387455B2 (en) * 2002-06-07 2008-06-17 Tokyo Electron Limited Substrate processing device, substrate processing method, and developing device
JP2006019411A (en) * 2004-06-30 2006-01-19 Pioneer Electronic Corp Buffer device and substrate processing device
JP2007189139A (en) * 2006-01-16 2007-07-26 Sokudo:Kk Substrate-treating device
JP4771816B2 (en) * 2006-01-27 2011-09-14 大日本スクリーン製造株式会社 Substrate processing equipment

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003100587A (en) * 2001-09-21 2003-04-04 Dainippon Screen Mfg Co Ltd Substrate treatment system
KR20060097613A (en) * 2005-03-11 2006-09-14 동경 엘렉트론 주식회사 Coating/developing device and method
KR20060135531A (en) * 2005-06-24 2006-12-29 다이닛뽕스크린 세이조오 가부시키가이샤 Substrate processing apparatus
KR20080076713A (en) * 2007-02-14 2008-08-20 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus and substrate processing method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10160001B2 (en) 2015-10-29 2018-12-25 Semes Co., Ltd. Substrate treating apparatus

Also Published As

Publication number Publication date
KR20110135898A (en) 2011-12-20
TW201415173A (en) 2014-04-16
TWI424278B (en) 2014-01-21
KR20100088505A (en) 2010-08-09
TW201028800A (en) 2010-08-01
TWI509371B (en) 2015-11-21

Similar Documents

Publication Publication Date Title
JP5181306B2 (en) Substrate processing system, pre- and post-exposure processing unit, and substrate processing method
KR101166109B1 (en) Facility for treating substrates
JP2010177673A (en) Apparatus and method for treating substrate
JP5988438B2 (en) Coating processing method and coating processing apparatus
JP5014811B2 (en) Substrate processing method
US8703400B2 (en) Substrate treatment method, coating treatment apparatus, and substrate treatment system
KR101109074B1 (en) System and method for treating substrates
KR102223763B1 (en) Apparatus and Method for treating substrate
KR102533056B1 (en) Method and Apparatus for treating substrate
KR102046869B1 (en) Member for suppliyng a substrate, Buffer unit, and Apparatus for treating a substrate
KR20150078629A (en) Apparatus for treating substrate
KR102037921B1 (en) Apparatus and Method for treating substrate
KR20200026563A (en) Transfer robot and Apparatus for treating substrate with the robot
KR20150037453A (en) Substrate treating apparatus
KR102371453B1 (en) Apparatus for treating substrate and method for removing static electricity
KR102156897B1 (en) Apparatus and Method for treating substrate
KR102119688B1 (en) Apparatus for treating substrate
KR102201883B1 (en) Unit for supporting substrate and Apparatus for treating substrate with the unit
KR102343640B1 (en) Apparatus for treating substrate
KR102397847B1 (en) Pipe assembly and Apparatus for treaing substrate with the assembly
KR20210021184A (en) Apparatus for treating substrate
KR20130025132A (en) Apparatus for treating substrate
KR20130027169A (en) Apparatus for treating substrate
KR20130055919A (en) Substrate treating apparatus
KR20130027168A (en) Cleaning member

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
J204 Invalidation trial for patent
J301 Trial decision

Free format text: TRIAL DECISION FOR INVALIDATION REQUESTED 20120307

Effective date: 20131002

EXTG Extinguishment