KR20080080949A - 절연막 - Google Patents

절연막 Download PDF

Info

Publication number
KR20080080949A
KR20080080949A KR1020080019292A KR20080019292A KR20080080949A KR 20080080949 A KR20080080949 A KR 20080080949A KR 1020080019292 A KR1020080019292 A KR 1020080019292A KR 20080019292 A KR20080019292 A KR 20080019292A KR 20080080949 A KR20080080949 A KR 20080080949A
Authority
KR
South Korea
Prior art keywords
compound
film
cage
preferable
composition
Prior art date
Application number
KR1020080019292A
Other languages
English (en)
Other versions
KR101475307B1 (ko
Inventor
켄스케 모리타
코지 와리이시
아키라 아사노
마코토 무라마츠
Original Assignee
후지필름 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지필름 가부시키가이샤 filed Critical 후지필름 가부시키가이샤
Publication of KR20080080949A publication Critical patent/KR20080080949A/ko
Application granted granted Critical
Publication of KR101475307B1 publication Critical patent/KR101475307B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01BCABLES; CONDUCTORS; INSULATORS; SELECTION OF MATERIALS FOR THEIR CONDUCTIVE, INSULATING OR DIELECTRIC PROPERTIES
    • H01B3/00Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties
    • H01B3/18Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances
    • H01B3/30Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes
    • H01B3/46Insulators or insulating bodies characterised by the insulating materials; Selection of materials for their insulating or dielectric properties mainly consisting of organic substances plastics; resins; waxes silicones
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Formation Of Insulating Films (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)
  • Paints Or Removers (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

반도체소자용 절연막은 기판에 2 이상의 불포화기를 치환기로서 갖고 환상 실록산 구조를 갖는 케이지형 실세스퀴옥산 화합물을 중합하여 얻은 고분자 화합물을 경화하여 얻은 것으로서, 상기 케이지형 실세스퀴옥산 화합물의 구조는 경화에 의해 파괴되지 않으며, 케이지 구조의 파괴는 경화 후 막의 라만스펙트럼에서 610㎝-1 부근 피크를 관찰함으로써 검지될 수 있다.

Description

절연막{INSULATING FILM}
본 발명은 절연막에 관한 것으로, 더욱 구체적으로는 반도체소자 등의 층간절연막 재료로서 적당히 균일한 두께를 갖고, 또한 유전율 특성이 우수한 절연막에 관한 것이다.
화학기상성장법(CVD) 등 진공프로세스로 형성된 실리카(SiO2) 막은 반도체소자 등에 있어서 층간절연막으로서 빈번히 사용되어 왔다. 더욱 균일한 층간절연막을 형성하기 위하여 테트라알콕시실란의 가수분해 생성물을 주성분으로 하는 도포형 절연막으로서, SOG(Spin on Glass) 막으로 불리는 것이 최근에 사용되고 있다. 반도체소자 등의 고집적화로 폴리유기실록산을 주성분으로 하는 저유전율의 층간절연막으로서, 유기 SOG라 불리는 것이 개발 중이다. 특히, 환구조를 갖는 실록산 화합물은 유전율이 낮은데, 이는 그의 벌키한 환구조(bulky cyclic structure) 때문이며, 그 분자쇄 주변에 자유공간을 갖는다.
그러나 환상 폴리실록산 화합물의 환구조가 경화 단계에서 파괴됨이 라만분석으로 확인되었다. 환구조의 파괴 결과, 그 화합물을 사용하여 얻은 막은 유전율 이 높을 수 밖에 없다.
그러므로 본 발명의 목적은 상기 문제를 극복할 수 있는 절연막을 제공하는 것으로, 더욱 구체적으로는 적당히 균일한 두께를 갖고, 또한 저밀도 및 저굴절률을 가지며, 유전율 및 영률 등의 막특성이 우수하여 반도체소자 등의 층간절연막으로서 사용하기에 적합한 절연막("절연막"이란 "유전막"과 "유전성 절연막"이라고도 하지만, 이들 용어는 실질적으로 구별되지 않는다)을 제공한다. 상기한 문제는, 환상 실록산 구조를 3차원 케이지형(cage type) 실록산 구조로 변형함으로써 실록산 결합 네트워크를 강화하여 해결할 수 있다.
본 발명의 상기 목적은 하기 수단에 의해 달성될 수 있음을 알았다.
(1) 치환기로서 2 이상의 불포화기를 갖고 환상 실록산구조를 갖는 케이지형 실세스퀴옥산 화합물을 중합하여 얻은 고분자 화합물을 기판상에 경화하여 얻은 반도체소자용 절연막으로서,
상기 케이지형 실세스퀴옥산 화합물의 구조는 경화로 파괴되지 않는 것을 특징으로 하는 반도체소자용 절연막.
(2) 치환기로서 2 이상의 불포화기를 갖고 환상 실록산구조를 갖는 케이지형 실세스퀴옥산 화합물을 중합하여 얻은 고분자 화합물을 기판상에 경화하여 얻은 반도체소자용 절연막으로서,
경화 후에 막의 라만스펙트럼(Raman Spectrum)에서 610㎝- 1부근의 피크가 관 찰되지 않는 것을 특징으로 하는 반도체소자용 절연막.
(3) (1) 또는 (2)에 있어서,
상기 케이지형 실세스퀴옥산 화합물은 m개의 RSi(O0 .5)3유닛을 갖고, 상기 m은 8~16의 정수를 나타내며, 2 이상의 R은 각각 비닐 또는 에티닐 함유기인 것을 조건으로 R은 각각 독립적으로 비가수분해성기를 나타내고,
상기 각각의 유닛은 공유하는 산소원자를 통해 다른 유닛과 연결되어 케이지형 구조를 형성하는 것을 특징으로 하는 반도체소자용 절연막.
(4) (3)에 있어서,
상기 m은 8, 10 또는 12의 정수를 나타내는 것을 특징으로 하는 반도체소자용 절연막.
(5) (3) 또는 (4)에 있어서,
상기 2 이상의 R은 비닐기인 것을 특징으로 하는 반도체소자용 절연막.
(6) (5)에 있어서,
R은 모두 비닐기인 것을 특징으로 하는 반도체소자용 절연막.
상기 (1)에 있어서, 케이지 구조가 경화반응으로 파괴되는 것을 방지하는 방법에는 제한이 없다. 이들 예는 환상구조를 갖는 케이지형 실세스퀴옥산 구조인 실세스퀴옥산 화합물을 얻고, Si-(-C-)n-Si(n은 바람직하게는 3 이하를 나타낸다) 결합을 통해 인접하는 케이지형 구조 2개를 연결하도록 그 화합물을 중합하는 방법을 포함한다.
본원 발명자들은 다양한 실록산 화합물의 유전율과 이들을 사용하여 얻어진 막의 기계적 강도 사이의 관계를 조사하였다. 그 결과, 경화 후, 인접하는 케이지형 구조 2개가 Si-(-C-)n-Si(n은 4 이상) 결합을 통해 연결된 화합물을 이용하여 얻은 막의 라만 분광측정에 있어서, 3원환 실록산 결합에서 유래한 것으로 보이는 큰 피크가 라만이동(raman shift) 610㎝-1에서 관찰되었고, 상기 막은 유전율이 악화된 반면, 경화 후, 인접하는 케이지형 구조 2개가 Si-(-C-)n-Si(n은 3 이하) 결합을 통해 연결된 화합물을 이용하여 얻은 막의 라만 분광측정에 있어서, 라만이동(raman shift) 610㎝-1에서 피크가 관찰되지 않고 상기 막은 양호한 유전율을 보인다는 것을 알았다.
실록산 화합물의 라만이동(raman shift) 585㎝-1에서 피크는 3원환 실록산 결합에 기인한다. 경화 반응 후 이 영역에서 피크의 증가는 경화 전의 4원환이 막경화 반응으로 파괴되어 3원환을 형성하는 것을 의미한다. 라만이동의 피크귀속은 A. Lee Smith, et al., App . Spec . 38, 622(1984) 등에 기술되어 있다.
본 발명의 절연막은, 치환기로서 2 이상의 불포화기를 갖고 환상 구조를 갖는 실록산 구조인 케이지형 실세스퀴옥산 화합물을 중합하여 얻은 고분자 화합물을 기판상에 경화하여 얻은 반도체소자용 절연막이고, 상기 케이지 구조가 상기 경화반응에 의해 파괴되지 않는 것으로 특징지어진다.
치환기로서 2 이상의 불포화기를 갖는 케이지형 실세스퀴옥산 화합물(이하, "화합물(Ⅰ)"이라 함)의 예는 m개의 RSi(O0 .5)3유닛(m은 8~16의 정수를 나타내며, 2 이상의 R은 각각 비닐 또는 에티닐 함유기인 것을 조건으로 R은 각각 독립적으로 비가수분해성기를 나타낸다)을 갖는 화합물(이하, "화합물(Ⅰ')"이라 함)을 포함하며, 상기 유닛은 공유하는 산소원자를 통해 서로 연결됨으로써 케이지 구조를 형성한다.
유전율 저하의 관점에서 화합물(Ⅰ')에서 m은 바람직하게는 8, 10, 12, 14 또는 16을 나타내고, 입수성(入手性)의 관점에서 8, 10 또는 12가 바람직하다.
여기서 사용된 "케이지형 구조"란 용적이 공유결합된 원자로 형성된 복수의 환으로 정해지고, 상기 용적 내에 존재하는 모든 점이 상기 환을 통과하지 않고서는 용적에서 이탈될 수 없는 분자를 말한다.
일반식(Ⅰ)으로 표시되는 케이지 구조의 예는 하기한다. 하기 일반식에서 자유결합은 R의 결합위치를 나타낸다.
Figure 112008015335852-PAT00001
Figure 112008015335852-PAT00002
Figure 112008015335852-PAT00003
화합물(Ⅰ)에서 복수의 R은 각각 독립적으로 비가수분해성기를 나타낸다.
여기서 사용된 "비가수분해성기"란 실온에서 1시간 동안 1당량의 중성수와 접촉시켰을 때 가수분해되지 않고 적어도 95%가 잔존하는 기를 의미한다. 상기 조건에서 가수분해되지 않고 적어도 99%가 잔존하는 비가수분해성기가 바람직하다.
2 이상의 R은 비닐 또는 에티닐 함유기이다. 비가수분해성기 R의 예는 알킬기(메틸, t-부틸, 시클로펜틸 및 시클로헥실 등), 아릴기(페닐, 1-나프틸 및 2-나프틸 등), 비닐기, 에티닐기, 알릴기 및 실릴옥시기(트리메틸실릴옥시, 트리에틸실릴옥시 및 t-부틸디메틸실릴옥시 등)를 포함한다.
R로 표시되는 기 중에서, R로 표시되는 2 이상의 기는 비닐 또는 에티닐 함유기이고, 바람직하게는 R로 표시되는 2 이상의 기는 비닐함유기이다. R로 표시되는 상기 기는 비닐 또는 에티닐기를 함유하고, 상기 비닐 또는 에티닐기는 직접 또는 2가의 연결기를 통해 R이 결합되는 규소원자에 결합되는 것이 바람직하다. 2가 연결기의 예는 -[C(R11)(R12)]k-, -CO-, -O-, -N(R13)-, -S-와 -O-Si(R14)(R15)- 및 이 들을 임의로 조합한 2가의 연결기를 포함한다. 이들 일반식에서 R11~R15는 각각 독립적으로 수소원자, 메틸기, 에틸기 또는 페닐기를 나타내고, k는 1~6의 정수를 나타낸다. 이들 기 중, -[C(R11)(R12)]k-, -O-, -O-Si(R14)(R15)- 및 이들을 임의로 조합한 2가의 연결기가 바람직하다.
화합물(Ⅰ)에서 비닐 또는 에티닐기는 R이 결합되는 규소원자에 직접 결합되는 것이 바람직하다.
화합물(Ⅰ)의 R에 있어서, 2 이상의 비닐기는 R이 결합되는 규소원자에 직접 결합되는 것이 바람직하며, 화합물(Ⅰ)에서 R의 절반 이상은 비닐기인 것이 더욱 바람직하며, R이 모두 비닐기인 것이 특히 바람직하다.
화합물(Ⅰ)의 구체적인 예는 하기 화합물을 포함하나 이에 한정되지 않는다.
Figure 112008015335852-PAT00004
Figure 112008015335852-PAT00005
Figure 112008015335852-PAT00006
Figure 112008015335852-PAT00007
화합물(Ⅰ)은 시판되는 화합물 또는 공지의 방법으로 합성된 화합물을 사용할 수 있다.
또한, 본 발명의 화합물(Ⅰ)의 R은 각각 독립적으로 하기 일반식(Ⅱ)으로 표시되는 것이 바람직하다. 이 경우, 하기 일반식(Ⅲ)(이하, "화합물(Ⅲ)"이라 함)으 로 표시되는 화합물을 하기 일반식(Ⅳ)(이하, "화합물(Ⅳ)"이라 함)으로 표시되는 화합물과 반응시켜 합성할 수 있다.
(R1)3-Si-O- (Ⅱ)
[MO-Si(O0 .5)3]m (Ⅲ)
(R1)3-Si-Cl (Ⅳ)
화합물(Ⅲ)은 Angew . Chem . Int . Ed . Engl . 36(7), 743-745(1997)에서 기술된 과정 등으로 합성될 수 있다.
상기 일반식에서, R1들은 각각 독립적으로 비가수분해성기를 나타낸다. 비가수분해성기 R1의 구체적인 예는 알킬기, 아릴기, 비닐기 및 에티닐기를 포함하고, m은 화합물(Ⅰ')에서와 동일한 의미이다. M은 금속원자(예를 들면, Na, K, Cu, Ni 또는 Mn) 또는 오늄 양이온(예를 들면, 테트라메틸암모늄)을 나타낸다. M이 다가 금속원자를 나타내는 경우, 복수의 -Si(O0 .5)3은 다가 금속원자 M에 결합된다.
화합물(Ⅲ)과 화합물(Ⅳ) 사이의 반응은 예를 들면, 화합물(Ⅲ)과 화합물(Ⅲ)에 함유된 Si-OM기 몰당 화합물(Ⅳ)의 1~100몰을 용매에 첨가하면서 교반하면서 통상 0~180℃에서 10분~20시간 동안 실시된다.
용매로서는 톨루엔, 헥산 및 테트라히드로푸란(THF) 등 유기용매가 바람직하다.
화합물(Ⅲ)이 화합물(Ⅳ)과 반응하는 경우 트리에틸아민 또는 피리딘 등의 염기가 첨가될 수 있다.
본 발명의 절연막 형성용 조성물(이하 "본 발명의 조성물"이라 함)은 서로 다른 복수의 화합물(Ⅰ)의 중합물을 함유할 수 있다. 중합물은 서로 다른 복수의 화합물(Ⅰ)로 이루어진 공중합물 또는 호모폴리머의 혼합물일 수 있다. 본 발명의 조성물이 서로 다른 복수의 화합물(Ⅰ)로 이루어진 중합물을 함유하는 경우, 상기 공중합물은 화합물(Ⅰ')에서 선택된 2 이상의 화합물(Ⅰ')의 혼합물인 것이 바람직하며, 여기서 m은 각각 8, 10 및 12를 나타낸다.
본 발명의 조성물은 화합물(Ⅰ) 외에 화합물과 공중합된 것일 수 있다. 그 화합물은 바람직하게는 중합성 탄소-탄소 불포화결합 또는 SiH기를 복수개 갖는다. 상기 화합물의 바람직한 예는 비닐실란, 비닐실록산, 페닐아세틸렌 및 [(HSiO0 .5)3]8을 포함한다.
본 발명의 조성물은 화합물(Ⅰ) 또는 유기용매에 용해된 그 반응물의 용액 또는 화합물(Ⅰ) 또는 그 반응물을 함유한 고형물일 수 있다.
화합물(Ⅰ)들 사이의 반응으로 얻은 중합물의 총량은 본 발명의 조성물에 함유된 고형분의 70질량% 이상이 바람직하고, 80질량% 이상이 더욱 바람직하며, 90질량% 이상이 한층 더 바람직하고, 95질량% 이상이 가장 바람직하다. (본 명세서에서 질량비는 중량비와 같다) 고형분 중, 화합물(Ⅰ)들 사이의 반응으로 얻은 중합물의 함량이 클수록, 밀도, 굴절률 및 유전율이 낮은 막을 형성할 수 있다.
여기서 사용된 "고형분"이란 휘발성 성분이 조성물 중에 함유된 전체 성분에서 제거된 후 잔존하는 성분을 의미한다. 휘발성 성분은 저분자 화합물로 분해된 후 휘발하는 성분을 포함한다. 휘발성 성분의 예는 물, 유기용매, 기공형성 열분해성 폴리머 및 열이탈성 치환기를 포함한다.
화합물(Ⅰ)들 간의 반응으로 얻은 중합물들을 제외한 본 발명의 고형분에 함유된 성분의 예는 화합물(Ⅰ), 화합물(Ⅰ)의 반응물을 함유하는 공중합물에 함유된 화합물(Ⅰ)의 반응물을 제외한 성분, 및 비휘발성 첨가물을 포함한다.
화합물(Ⅰ)의 양은 고형분의 GPC 차트, HPLC 차트, NMR 스펙트럼, UV 스펙트럼 또는 IR 스펙트럼을 사용하여 정량할 수 있다. 공중합물 중 성분의 양은 그 투입비로 결정할 수 있지만, 또한 필요한 경우 고형분을 정제하여 NMR 스펙트럼, UV 스펙트럼, IR 스펙트럼 또는 원소분석을 하여 정량할 수 있다.
비휘발성 첨가물의 양은 조성물에 첨가한 양을 고형분 중 존재량으로서 사용하거나, 고형분의 GPC 차트 또는 HPLC 차트로 정량될 수 있다. 또한, 필요한 경우 고형분을 정제하여 NMR 스펙트럼, UV 스펙트럼, IR 스펙트럼 또는 원소분석을 하여 정량할 수 있다.
이들 이외의 고형분은 화합물(Ⅰ)들 간의 반응으로 얻어진 중합물이다.
도포후 양호한 표면 상태를 갖고 경화하는 동안 막두께가 감소되지 않은 막을 얻기 위해서는 본 발명의 조성물의 고형분 중에서 반응하지 않고 잔존하는 화합물(Ⅰ)의 양은 적은 것이 바람직하다.
고형분 중의 화합물(Ⅰ)의 양은 15질량% 이하이고, 10질량% 이하가 바람직하 고, 5질량% 이하가 가장 바람직하다.
GPC 차트에서 화합물(Ⅰ)을 제외한 본 발명의 조성물에 함유된 고형분의 일부는 수평균분자량(Mn)이 20,000~200,000이고, 바람직하게는 25,000~150,000이며, 가장 바람직하게는 30,000~100,000이다.
저밀도, 저굴절률 및 저유전율의 막은 수평균분자량이 클 때 형성될 수 있다.
본 발명에서, GPC는 "Waters 2695" 및 GPC 컬럼 "KF-805L"(상품명; Shodex 제품)을 사용하고, 용출용매로서는 테트라히드로푸란을 40℃로 컬럼온도를 설정하고 유량을 1㎖/분으로 사용하여, 시료농도 0.5질량%를 갖는 테트라히드로푸란 용액 50㎕를 주입하고 RI 검출기("Waters 2414")의 적분치를 사용하여 단량체에 대한 검량선을 작성하여 고형분 중의 단량체 양을 정량하였다. Mn, Mw 및 MZ +1은 표준 폴리스티렌을 사용하여 작성한 검량선을 기초로 하여 계산한 값이다.
GPC 차트에서 화합물(Ⅰ) 단량체를 제외한 본 발명의 조성물 중에 함유된 고형분 부분의 (Z+1) 평균분자량(MZ +1)은 90,000~600,000이 바람직하고, 120,000~450,000이 더욱 바람직하고, 150,000~300,000이 가장 바람직하다.
(Z+1) 평균분자량이 상기 범위보다 큰 경우, 조성물은 유기용매에서 용해도 및 필터 여과성이 열화되어, 막의 면특성을 악화시킨다.
평균분자량이 상기 범위 내이면 유기용매 중에서 용해도가 양호하고 필터여과성이 양호하여 면상태가 좋고, 밀도, 굴절률 및 유전율이 낮은 막을 형성할 수 있는 조성물을 얻을 수 있다.
GPC 차트에서 화합물(Ⅰ) 단량체를 제외한 본 발명의 조성물 중에 함유된 고형분의 부분은 Mw가 30,000~210,000이 바람직하고, 40,000~180,000이 더욱 바람직하고, 50,000~160,000이 가장 바람직하다.
막의 유기용매 중에서 용해도, 필터여과성 및 면상태의 관점에서 본 발명의 폴리머는 바람직하게는 실질적으로 분자량 3,000,000 이상인 성분을 함유하지 않고, 더욱 바람직하게는 실질적으로 분자량 2,000,000 이상인 성분을 함유하지 않고, 가장 바람직하게는 실질적으로 분자량 1,000,000 이상인 성분을 함유하지 않는다.
본 발명의 조성물 중의 고형분에서 화합물(Ⅰ)의 비닐 또는 에티닐기의 10~90몰%가 미반응으로 잔존하는 것이 바람직하고, 20~80몰%가 더욱 바람직하고, 30~70몰%가 가장 바람직하다.
본 발명의 조성물 중 화합물(Ⅰ)의 반응물에 중합개시제, 첨가제 또는 중합용매의 0.1~40질량%, 바람직하게는 0.1~20질량%, 한층 더 바람직하게는 0.1~10질량%, 가장 바람직하게는 0.1~5질량%가 결합될 수 있다.
이들의 양은 조성물의 NMR 스펙트럼에 의해 정량될 수 있다.
본 발명의 조성물 제조에 있어서, 화합물(Ⅰ)은 탄소-탄소 불포화 결합 간의 중합반응을 이용하여 제조되는 것이 바람직하다.
용매 중의 화합물(Ⅰ)을 용해하고, 그 용액에 중합개시제를 첨가하여 비닐 또는 에티닐기를 반응시키는 것이 특히 바람직하다.
어떤 중합반응도 사용될 수 있고, 그 예로는 라디칼 중합, 양이온 중합, 음이온 중합, 개환중합, 중축합, 중부가, 부가축합 및 전이금속촉매중합을 포함한다.
중합반응이 종료할 때 잔존하는 화합물(Ⅰ)의 양은 그 첨가량을 기초로 25질량% 이하가 바람직하고, 20질량% 이하가 더욱 바람직하고, 15질량% 이하가 가장 바람직하다. 중합할 때 이 조건을 만족하는 경우, 면상태가 양호하고 경화하는 동안 막 두께의 손실이 작은 막을 제공할 수 있는 막형성 조성물을 고수율로 제조할 수 있다.
중합반응이 종료할 때 폴리머의 질량평균분자량은 30,000~160,000이 바람직하고, 40,000~140,000이 더욱 바람직하고, 50,000~120,000이 가장 바람직하다.
중합반응이 종료할 때 폴리머의 (Z+1) 평균분자량(MZ +1)은 90,000~700,000이 바람직하고, 120,000~550,000이 더욱 바람직하고, 150,000~400,000이 가장 바람직하다.
중합반응이 종료할 때 폴리머는 바람직하게는 실질적으로 분자량이 3,000,000 이상인 성분을 함유하지 않고, 더욱 바람직하게는 실질적으로 분자량이 2,000,000 이상인 성분을 함유하지 않고, 가장 바람직하게는 실질적으로 분자량이 1,000,000 이상인 성분을 함유하지 않는다.
중합할 때 이들 분자량 조건을 만족하는 경우, 필터 여과성이 양호하고 저밀도, 저굴절률 및 저유전율을 갖는 막을 형성할 수 있으며 유기용매 중에 용해하는 막형성 조성물이 제조될 수 있다.
상기 분자량 조건을 만족시키기 위해서, 중합반응 중 화합물(Ⅰ)의 농도는 12질량% 이하가 바람직하고, 10질량% 이하가 더욱 바람직하며, 8질량% 이하가 한층 더 바람직하고, 6질량% 이하가 가장 바람직하다.
반응시 생산성은 중합할 때 화합물(Ⅰ)의 농도가 높을수록 더 양호하다. 이러한 의미로 중합할 때 화합물(Ⅰ)의 농도는 0.1질량% 이상이 바람직하고, 1질량% 이상이 더욱 바람직하다.
본 발명의 조성물 제조방법에 있어서, 화합물(Ⅰ)을 중합하고 여과 또는 원심분리에 의해 고분자성분을 제거하거나, 또는 컬럼 크로마토그래피에 의한 정제처리를 하는 것이 바람직하다.
특히, 본 발명의 조성물 제조방법으로서 중합반응으로 형성된 고형분을 재침전처리하여 저분자 성분 및 잔존 화합물(Ⅰ)을 제거함으로써 Mn을 증가시키고 화합물(Ⅰ)의 잔존량을 감소시키는 것이 바람직하다.
여기서 사용된 "재침전 처리"란 필요에 따라 반응용매를 증류제거한 반응혼합물에 빈용매(貧溶媒)(본 발명의 조성물이 실질적으로 용해되지 않는 용매)를 첨가하거나, 또는 양용매(良溶媒)에 고형분을 용해하여 그 용액에 빈용매를 적하첨가하여 침전된 본 발명의 침전물을 여과로 수거하는 것을 의미한다.
양용매의 예는 에틸 아세테이트, 부틸 아세테이트, 톨루엔, 메틸 에틸 케톤 및 테트라히드로푸란을 포함한다. 빈용매로서 알코올(메탄올, 에탄올 및 이소프로필 알코올), 탄화수소(헥산 및 헵탄) 및 물이 바람직하다. 양용매의 양은 본 발명의 조성물 질량의 1~50배 사용하는 것이 바람직하고, 2~20배가 더욱 바람직한 반 면, 빈용매의 양은 본 발명의 조성물 질량의 1~20배가 바람직하고, 2~50배가 더욱 바람직하다.
화합물(Ⅰ)의 중합반응은 비금속 중합개시제의 존재하에서 실시되는 것이 바람직하다. 예를 들면, 중합은 가열에 의하여 탄소 라디칼 또는 산소 라디칼 등 자유 라디칼을 발생하는 활성을 보이는 중합개시제의 존재하에서 실시된다.
중합개시제로서, 유기 퍼옥사이드 및 유기 아조 화합물이 특히 바람직하다.
유기 퍼옥사이드의 바람직한 예는 "PERHEXA H" 등 케톤 퍼옥사이드, "PERHEXA TMH" 등 퍼옥시케탈, "PERBUTYL H-69" 등 하이드로퍼옥사이드, "PERCUMYL D", "PERBUTYL C" 및 "PERBUTYL D" 등의 디알킬퍼옥사이드, "NYPER BW" 등의 디아실 퍼옥사이드, "PERBUTYL Z"와 "PERBUTYL L" 등의 퍼옥시 에스테르 및 "PEROYL TCP" 등의 퍼옥시 디카르보네이트(각각 상품명; NOF Corporation 판매), 디이소부티릴 퍼옥사이드, 쿠밀퍼옥시네오데카노에이트, 디-n-프로필퍼옥시디카르보네이트, 디이소프로필퍼옥시디카르보네이트, 디-sec-부틸퍼옥시디카르보네이트, 1,1,3,3-테트라메틸부틸퍼옥시네오데카노에이트, 디(4-t-부틸클로로헥실)퍼옥시디카르보네이트, 디(2-에틸헥실)퍼옥시디카르보네이트, t-헥실퍼옥시네오데카노에이트, t-부틸퍼옥시네오데카노에이트, t-부틸퍼옥시네오헵타노에이트, t-헥실퍼옥시피발레이트, t-부틸퍼옥시피발레이트, 디(3,5,5-트리메틸헥사노일)퍼옥사이드, 디라우로일 퍼옥사이드, 1,1,3,3,-테트라메틸부틸퍼옥시-2-에틸헥사노에이트, 디숙신산 퍼옥사이드, 2,5-디메틸-2,5-디(2-에틸헥사노일퍼옥시)헥산, t-헥실퍼옥시-2-에틸헥사노에이트, 디(4-메틸벤조일) 퍼옥사이드, t-부틸퍼옥시-2-에틸헥사노에이트, 디(3-메틸 벤조일) 퍼옥사이드, 벤조일(3-메틸벤조일) 퍼옥사이드, 디벤조일 퍼옥사이드, 1,1-디(t-부틸퍼옥시)-2-메틸시클로헥산, 1,1-디(t-헥실퍼옥시)-3,3,5-트리메틸시클로헥산, 1,1-디(t-헥실퍼옥시)시클로헥산, 1,1-디(t-부틸퍼옥시)시클로헥산, 2,2,-디(4,4,-디-(t-부틸퍼옥시)시클로헥실)프로판, t-헥실퍼옥시이소프로필 모노카르보네이트, t-부틸퍼옥시말레산, t-부틸퍼옥시-3,5,5-트리메틸헥사노에이트, t-부틸퍼옥시라우레이트, t-부틸퍼옥시이소프로필모노카르보네이트, t-부틸퍼옥시-2-에틸헥실모노카르보네이트, t-헥실퍼옥시벤조에이트, 2,5-디메틸-2,5-디(벤조일퍼옥시)헥산, t-부틸퍼옥시아세테이트, 2,2-디-(t-부틸퍼옥시)부탄, t-부틸퍼옥시벤조에이트, n-부틸-4,4-디-t-부틸퍼옥시발레레이트, 디(2-t-부틸퍼옥시이소프로필)벤젠, 디쿠밀 퍼옥사이드, 디-t-헥실 퍼옥사이드, 2,5-디메틸-2,5-디(t-부틸퍼옥시)헥산, t-부틸쿠밀 퍼옥사이드, 디-t-부틸 퍼옥사이드, p-메탄 하이드로퍼옥사이드, 2,5-디메틸-2,5-디(t-부틸퍼옥시)헥신-3, 디이소프로필벤젠 하이드로퍼옥사이드, 1,1,3,3-테트라메틸부틸 하이드로퍼옥사이드, 쿠멘 하이드로퍼옥사이드, t-부틸 하이드로퍼옥사이드, 2,3-디메틸-2,3-디페닐부탄, 2,4-디클로로벤조일 퍼옥사이드, o-클로로벤조일 퍼옥사이드, p-클로로벤조일 퍼옥사이드, 트리스-(t-부틸퍼옥시)트리아진, 2,4,4-트리메틸펜틸퍼옥시네오데카노에이트, α-쿠밀퍼옥시네오데카노에이트, t-아밀퍼옥시-2-에틸헥사노에이트, t-부틸퍼옥시이소부티레이트, 디-t-부틸퍼옥시헥사하이드로테레프탈레이트, 디-t-부틸퍼옥시트리메틸아디페이트, 디-3-메톡시부틸퍼옥시디카르보네이트, 디-이소프로필퍼옥시디카르보네이트, t-부틸퍼옥시이소프로필카르보네이트, 1,6-비스(t-부틸퍼옥시카르보닐옥시)헥산, 디에틸렌 글리콜 비스(t-부틸퍼옥시카르보네이트), t-헥실퍼옥시네오데카노에이트 및 ARKEMA YOSHITOMI가 판매하는 "Luperox 11"(상품명)을 포함한다.
유기 아조 화합물의 바람직한 예는 "V-30", "V-40", "V-59", "V-60", "V-65" 및 "V-70" 등 아조니트릴 화합물, "VA-080", "VA-085", "VA-086", "VF-096", "VAm-110" 및 "VAm-111" 등 아조아미드 화합물, "VA-044" 및 "VA-061" 등 환상 아조아미딘 화합물, "V-50" 및 "VA-057" 등 아조아미딘 화합물, "V-601" 및 "V-401"(각각 상품명, Wako Pure Chemical Industries 판매) 등 아조에스테르 화합물, 2,2-아조비스(4-메톡시-2,4-디메틸발레로니트릴), 2,2-아조비스(2,4-디메틸발레로니트릴), 2,2-아조비스(2-메틸프로피오니트릴), 2,2-아조비스(2,4-디메틸부티로니트릴), 1,1-아조비스(시클로헥산-1-카르보니트릴), 1-[(1-시아노-1-메틸에틸)아조]포름아미드, 2,2-아조비스{2-메틸-N-[1,1-비스(히드록시메틸)-2-히드록시에틸]프로피온아미드}, 2,2-아조비스[2-메틸-N-(2-히드록시부틸)프로피온아미드], 2,2-아조비스[N-(2-프로페닐)-2-메틸프로피온아미드], 2,2-아조비스(N-부틸-2-메틸프로피온아미드), 2,2,-아조비스(N-시클로헥실-2-메틸프로피온아미드), 2,2-아조비스[2-(2-이미다졸린-2-일)프로판]디히드로클로라이드, 2,2-아조비스[2-(2-이미다졸린-2-일)]프로판]디술페이트 디하이드레이트, 2,2-아조비스{2-[1-(2-히드록시에틸)-2-이미다졸린-2-일]프로판}디히드로클로라이드, 2,2-아조비스[2-[2-이미다졸린-2-일]프로판], 2,2-아조비스(1-이미노-1-피롤리디노-2-메틸프로판)디히드로클로라이드, 2,2-아조비스(2-메틸프로피온아미딘)디히드로클로라이드, 2,2-아조비스[N-(2-카르복시에틸)-2-메틸프로피온아미딘]테트라하이드레이트, 디메틸-2,2-아조비스(2-메틸프로피 오네이트), 4,4-아조비스(4-시아노발레르산) 및 2,2-아조비스(2,4,4-트리메틸펜탄)을 포함한다.
중합개시제로서 유기 아조 화합물이 시약 그 자체의 안정성 및 중합반응에서 분자량의 재현성을 고려하여 바람직하다. 이들 중 "V-601" 등 아조 에스테르 화합물이 가장 바람직한데, 이는 유해한 시아노기가 폴리머에 삽입되지 않기 때문이다.
중합개시제의 10시간 반감기 온도는 100℃ 이하가 바람직하다. 10시간 반감기 온도가 100℃ 이하이면 반응이 완료할 때 중합개시제가 잔존하는 것을 용이하게 피할 수 있다.
본 발명에서 상기 중합개시제는 단독으로 또는 병합하여 사용될 수 있다.
중합개시제의 양은 단량체 몰당 0.0001~2몰이 바람직하고, 0.003~1몰이 더욱 바람직하며, 0.001~0.5몰이 특히 바람직하다.
중합반응에서 사용되는 용매로서는 필요한 농도로 화합물(Ⅰ)을 용해할 수 있고 폴리머로 형성된 막의 특성에 악영향을 미치지 않는 한 어떤 용매도 사용할 수 있다. 하기 기술에 있어서, "에스테르 용매"란 예를 들면, 에스테르기를 그 분자 내에 갖는 용매를 의미한다.
그 예는 물, 메탄올, 에탄올 및 프로판올 등 알코올 용매, 알코올 아세톤, 메틸 에틸 케톤, 메틸 이소부틸 케톤, 시클로헥사논 및 아세토페논 등 케톤 용매; 메틸 아세테이트, 에틸 아세테이트, 프로필 아세테이트, 이소프로필 아세테이트, 부틸 아세테이트, 펜틸 아세테이트, 헥실 아세테이트, 메틸 프로피오네이트, 에틸 프로피오네이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, γ-부티로락톤 및 메틸 벤조에이트 등 에스테르 용매; 디부틸 에테르, 아니솔 및 테트라히드로푸란 등 에테르 용매; 톨루엔, 크실렌, 메시틸렌, 1,2,4,5-테트라메틸벤젠, 펜타메틸벤젠, 이소프로필벤젠, 1,4-디이소프로필벤젠, t-부틸벤젠, 1,4-디-t-부틸벤젠, 1,3,5-트리에틸벤젠, 1,3,5-트리-t-부틸벤젠, 4-t-부틸-오르토크실렌, 1-메틸나프탈렌 및 1,3,5-트리이소프로필벤젠 등 방향족 탄화수소 용매; N-메틸피롤리디논 및 디메틸아세트아미드 등 아미드 용매; 사염화 탄소, 디클로로메탄, 클로로포름, 1,2-디클로로에탄, 클로로벤젠, 1,2-디클로로벤젠 및 1,2,4-트리클로로벤젠 등 할로겐 용매; 헥산, 헵탄, 옥탄 및 시클로헥산 등 지방족 탄화수소 용매를 포함한다. 이들 중, 더욱 바람직한 용매는 에스테르 용매가 바람직하고, 메틸 아세테이트, 에틸 아세테이트, 프로필 아세테이트, 이소프로필 아세테이트, 부틸 아세테이트, 펜틸 아세테이트, 헥실 아세테이트, 메틸 프로피오네이트, 에틸 프로피오네이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, γ-부티로락톤 및 메틸 벤조에이트가 더욱 바람직하고, 에틸 아세테이트 및 부틸 아세테이트가 특히 바람직하다.
이들 용매는 단독으로 또는 병합하여 사용될 수 있다.
유기용매는 끓는점이 75℃ 이상인 것이 바람직하지만, 반응할 때 중합개시제를 분해하기 위해 필요한 온도로 반응물을 가열하고 반응이 완료할 때 유기용매를 증류제거하기 위해서는 140℃를 넘으면 안된다.
본 발명에서 중합개시제는 전체를 일괄첨가, 부분첨가 또는 연속첨가를 할 수 있다. 뒤의 두 방법이 바람직한데 이들은 분자량을 증가시킬 수 있고, 또한 막강도의 관점에서 유리하기 때문이다.
막강도 및 중합반응할 때 분자량의 재현성의 관점에서, 화합물(Ⅰ) 및 유기용매로 이루어진 반응 혼합물을 중합개시제의 1시간 반감기 온도 이상으로 유지하면서 중합개시제를 부분첨가 또는 연속첨가하는 것이 특히 바람직하다.
본 발명에서 중합반응에 가장 적합한 조건은 중합개시제, 단량체 또는 용매의 종류 또는 농도에 따라 다르다. 중합반응은 바람직하게는 0~200℃, 더욱 바람직하게는 40~170℃, 특히 바람직하게는 70~140℃의 내부 온도에서 바람직하게는 1~50시간, 더욱 바람직하게는 2~20시간, 특히 바람직하게는 3~10시간 동안 실시한다.
산소에 의해 일어나는 중합개시제의 불활성화를 억제하기 위해서 반응이 불활성기체(예를 들면, 질소 또는 아르곤) 분위기 하에서 이루어지는 것이 바람직하다. 반응할 때 산소 농도는 100ppm 이하가 바람직하고, 50ppm 이하가 더욱 바람직하고, 20ppm 이하가 특히 바람직하다.
본 발명의 조성물은 유기용매에 용해하는 것이 바람직하다. 여기서 사용된 "유기용매에 용해한다"란 본 발명의 조성물의 5질량% 이상이 25℃에서 시클로헥사논, 메틸 에틸 케톤, 메틸 이소부틸 케톤, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르 및 γ-부티로락톤에서 선택된 용매에서 용해되는 것을 의미한다. 바람직하게는 조성물의 10질량% 이상, 더욱 바람직하게는 20질량% 이상이 용매에 용해된다.
본 발명의 조성물을 제조할 때, 화합물(Ⅰ)의 중합반응 후에 반응 혼합물은 본 발명의 조성물로서 사용될 수 있으나, 반응 혼합물을 증류 및 농축하여 반응 용매를 제거하여 농축물을 조성물로 사용하는 것이 바람직하다. 재침전 처리를 한 후 에 사용하는 것도 바람직하다.
반응 혼합물은 회전식 증류기(rotary evaporator), 증류장치 또는 중합반응에 사용되는 반응장치 등에서 가열 및/또는 감압에 의해 농축하는 것이 바람직하다. 농축할 때 반응 혼합물의 온도는 통상 0~180℃이고, 10~140℃가 바람직하고, 20~100℃가 더욱 바람직하고, 30~60℃가 가장 바람직하다. 농축할 때의 압력은 통상 0.133Pa~100kPa이고, 1.33Pa~13.3kPa이 바람직하고, 1.33Pa~1.33kPa이 더욱 바람직하다.
반응 혼합물을 농축할 때, 반응 혼합물 중 고형분 함량이 바람직하게는 10질량% 이상, 더욱 바람직하게는 30질량% 이상, 가장 바람직하게는 50질량% 이상에 이를 때까지 농축한다.
본 발명의 조성물 또는 조성물을 제조공정에 있어서, 중합금지제를 첨가하여 과도한 중합을 억제할 수 있다. 중합금지제의 예는 4-메톡시페놀 및 카테콜을 포함한다.
본 발명에서 화합물(Ⅰ)의 폴리머를 적절한 용제에 용해하여 그 용액을 기판에 도포하는 것이 바람직하다. 사용할 수 있는 용제의 예는 에틸렌 디클로라이드, 시클로헥사논, 시클로펜타논, 2-헵타논, 메틸 이소부틸 케톤, γ-부티로락톤, 메틸 에틸 케톤, 메탄올, 에탄올, 디메틸이미다졸리디논, 에틸렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 디메틸 에테르, 2-메톡시에틸 아세테이트, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르(PGME), 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA), 테트라에 틸렌 글리콜 디메틸 에테르, 트리에틸렌 글리콜 모노부틸 에테르, 트리에틸렌 글리콜 모노메틸 에테르, 이소프로판올, 에틸렌 카르보네이트, 에틸 아세테이트, 부틸 아세테이트, 메틸 락테이트, 에틸 락테이트, 메틸 메톡시프로피오네이트, 에틸 에톡시프로피오네이트, 메틸 피루베이트, 에틸 피루베이트, 프로필 피루베이트, N,N-디메틸포름아미드, 디메틸아세트아미드, 디메틸술폭시드, N-메틸피롤리돈, 테트라히드로푸란, 디이소프로필벤젠, 톨루엔, 크실렌 및 메시틸렌을 포함한다. 이들 용매는 단독으로 또는 혼합물로 사용될 수 있다.
이들 중, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르, 2-헵타논, 시클로헥사논, γ-부티로락톤, 에틸렌 글리콜 모노메틸 에테르, 에틸렌 글리콜 모노에틸 에테르, 에틸렌 글리콜 모노에틸 에테르 아세테이트, 프로필렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노에틸 에테르, 에틸렌 카르보네이트, 부틸 아세테이트, 메틸 락테이트, 에틸 락테이트, 메틸 메톡시프로피오네이트, 에틸 에톡시프로피오네이트, N-메틸피롤리돈, N,N-디메틸포름아미드, 테트라히드로푸란, 메틸 이소부틸 케톤, 크실렌, 메시틸렌 및 디이소프로필벤젠이 바람직하다.
또한 본 발명의 조성물을 적절한 용제에 용해하여 얻은 용액은 본 발명의 조성물의 범주에 포함된다. 본 발명의 용액 중의 총 고형분 농도는 1~30질량%가 바람직하고, 사용목적에 따라 필요한 경우 조정된다. 조성물의 총 고형분 농도가 1~30질량%의 범위 내이면, 도포된 막의 두께가 적절한 범위 내가 되고 도포액은 보존 안정성이 양호하다.
본 발명의 조성물은 중합개시제를 함유할 수 있으나, 중합개시제를 함유하지 않는 조성물이 바람직한데, 이는 보존 안정성이 더 양호하기 때문이다.
그러나 본 발명의 조성물이 저온에서 경화되어야만 할 때, 중합개시제를 함유하는 것이 바람직하다. 그러한 경우, 상기 언급한 예들과 동일한 중합개시제를 사용할 수 있다. 또한, 방사선으로 중합을 야기하는 개시제는 또한 이러한 목적으로 사용될 수 있다.
불순물로서 본 발명의 조성물의 금속 함량은 가능한 적은 것이 바람직하다. 조성물의 금속 함량은 ICP-MS에 의해 고감도로 측정할 수 있고, 이 경우, 전이 금속 외의 금속 함량은 30ppm 이하가 바람직하고, 3ppm 이하가 더욱 바람직하며, 300ppb 이하가 특히 바람직하다. 전이 금속의 함량은 가능한 적은 것이 바람직한데, 이는 높은 촉매능에 의해 산화가 촉진되고, 프리베이킹 또는 열경화 공정에서 산화반응이 본 발명으로 얻어진 막의 유전율을 상승시키기 때문이다. 금속 함량은 10ppm 이하가 바람직하고, 1ppm 이하가 더욱 바람직하고, 100ppb 이하가 특히 바람직하다.
또한, 조성물의 금속 농도는 본 발명의 조성물을 사용하여 얻은 막을 전반사형광 X선 분석을 적용하여 평가할 수 있다. W선이 X선원으로서 사용되는 경우, K, Ca, Ti, Cr, Mn, Fe, Co, Ni, Cu, Zn 및 Pd 등 금속 원소를 관찰할 수 있다. 이들의 농도는 100×1010-2 이하가 바람직하고, 50×1010-2 이하가 더욱 바람직하고, 10×1010-2 이하가 특히 바람직하다. 또한, Br, 즉 할로겐도 관찰할 수 있다. 그 잔존량은 10000×1010-2 이하가 바람직하고, 1000×1010-2 이하가 더욱 바람직하고, 400×1010-2 이하가 특히 바람직하다. 또한, 할로겐으로서 Cl도 관찰할 수 있다. CVD 장치, 에칭 장치 등에 손상을 입히지 않기 위해서 그 잔존량은 100×1010-2 이하가 바람직하고, 50×1010-2 이하가 더욱 바람직하고, 10×1010-2 이하가 특히 바람직하다.
본 발명의 조성물에 이를 사용하여 얻은 절연막의 특성(내열성, 유전율, 기계강도, 도포성 및 밀착성 등)을 손상시키지 않으면서 라디칼 발생제, 콜로이드 실리카, 계면활성제, 실란커플링제 및 밀착제 등 첨가제를 첨가할 수 있다.
어떤 콜로이드 실리카도 본 발명에서 사용할 수 있다. 예를 들면, 친수성 유기용매 또는 물에 고순도 무수규산을 분산시켜 얻은 분산액으로서, 통상 평균입자직경이 5~30㎚, 바람직하게는 10~20㎚이고 고형분 농도가 5~40질량%인 것을 사용할 수 있다.
어떤 계면활성제도 본 발명에 첨가할 수 있다. 그 예는 비이온 계면활성제, 음이온 계면활성제 및 양이온 계면활성제를 포함한다. 또한, 그 예는 실리콘 계면활성제, 불소함유 계면활성제, 폴리알킬렌 옥사이드 계면활성제 및 아크릴 계면활성제를 포함한다. 본 발명에서, 이들 계면활성제는 단독으로 또는 병합하여 사용할 수 있다. 계면활성제로서, 실리콘 계면활성제, 비이온 계면활성제, 불소함유 계면활성제 및 아크릴 계면활성제가 바람직하고, 실리콘 계면활성제가 특히 바람직하 다.
본 발명에서 사용된 계면활성제의 양은 막형성 도포액의 총량을 기준으로 하여 0.01질량% 이상 1질량% 이하가 바람직하고, 0.1질량% 이상 0.5질량% 이하가 더욱 바람직하다.
여기서 사용된 "실리콘 계면활성제"란 적어도 하나의 Si원자를 함유한 계면활성제를 의미한다. 어떤 실리콘 계면활성제도 본 발명에서 사용될 수 있으나, 알킬렌 옥사이드 및 디메틸실록산을 함유한 구조를 갖는 것이 바람직하고, 하기 화학식을 함유한 구조를 갖는 것이 더욱 바람직하다:
Figure 112008015335852-PAT00008
상기 식에서 R1은 수소원자 또는 C1 -5 알킬기를 나타내고, x는 정수 1~20을 나타내며, m 및 n은 각각 독립적으로 정수 2~100을 나타낸다. 복수의 R1은 동일하거나 상이할 수 있다.
본 발명에서 사용된 실리콘 계면활성제의 예는 "BYK 306", "BYK 307"(각각 상품명; BYK Chemie 제품), "SH7PA", "SH21PA", "SH28PA" 및 "SH30PA"(각각 상품명; Dow Corning Toray Silicone의 제품) 및 Troysol S366(상품명; Troy Chemical 제품)을 포함한다.
본 발명에서 사용된 비이온 계면활성제는 어떤 비이온 계면활성제도 사용할 수 있다. 그 예는 폴리옥시에틸렌 알킬 에테르, 폴리옥시에틸렌 아릴 에테르, 폴리옥시에틸렌 디알킬 에스테르, 소르비탄 지방산 에스테르, 지방산 변성 폴리옥시에틸렌 및 폴리옥시에틸렌-폴리옥시프로필렌 블록 공중합체를 포함한다.
본 발명에 사용된 불소함유 계면활성제는 어떤 불소함유 계면활성제도 사용할 수 있다. 그 예는 퍼플루오로옥틸 폴리에틸렌 옥사이드, 퍼플루오로데실 폴리에틸렌 옥사이드 및 퍼플루오로도데실 폴리에틸렌 옥사이드를 포함한다.
본 발명에 사용된 아크릴 계면활성제는 어떤 아크릴 계면활성제도 사용할 수 있다. 그 예는 (메타)아크릴산 공중합체를 포함한다.
어떤 실란커플링제도 본 발명에서 사용될 수 있다. 그 예는 3-글리시딜옥시프로필트리메톡시실란, 3-아미노글리시딜옥시프로필트리에톡시실란, 3-메타크릴옥시프로필트리메톡시실란, 3-글리시딜옥시프로필메틸디메톡시실란, 1-메타크릴옥시프로필메틸디메톡시실란, 3-아미노프로필트리메톡시실란, 3-아미노프로필트리에톡시실란, 2-아미노프로필트리메톡시실란, 2-아미노프로필트리에톡시실란, N-(2-아미노에틸)-3-아미노프로필트리메톡시실란, N-(2-아미노에틸)-3-아미노프로필메틸디메톡시실란, 3-우레이도프로필트리메톡시실란, 3-우레이도프로필트리에톡시실란, N-에톡시카르보닐-3-아미노프로필트리메톡시실란, N-에톡시카르보닐-3-아미노프로필트리에톡시실란, N-트리에톡시실릴프로필트리에틸렌트리아민, N-트리메톡시실릴프로필트리에틸렌트리아민, 10-트리메톡시실릴-1,4,7-트리아자데칸, 10-트리에톡시실 릴-1,4,7-트리아자데칸, 9-트리메톡시실릴-3,6-디아자노닐 아세테이트, 9-트리에톡시실릴-3,6-디아자노닐 아세테이트, N-벤질-3-아미노프로필트리메톡시실란, N-벤질-3-아미노프로필트리에톡시실란, N-페닐-3-아미노프로필트리메톡시실란, N-페닐-3-아미노프로필트리에톡시실란, N-비스(옥시에틸렌)-3-아미노프로필트리메톡시실란 및 N-비스(옥시에틸렌)-3-아미노프로필트리에톡시실란을 포함한다. 본 발명에서 이들 실란커플링제는 단독으로 또는 병합하여 사용될 수 있다.
본 발명에서 어떤 밀착촉진제도 사용할 수 있다. 그 예는 트리메톡시실릴벤조산, γ-메타크릴옥시프로필트리메톡시실란, 비닐트리아세톡시실란, 비닐트리메톡시실란, γ-이소시아나토프로필트리에톡시실란, γ-글리시독시프로필트리메톡시실란, β-(3,4-에폭시시클로헥실)에틸트리메톡시실란, 트리메톡시비닐실란, γ-아미노프로필트리에톡시실란, 알루미늄 모노에틸아세토아세테이트 디이소프로필레이트, 비닐트리스(2-메톡시에톡시)실란, N-(2-아미노에틸)-3-아미노프로필메틸디메톡시실란, N-(2-아미노에틸)-3-아미노프로필트리메톡시실란, 3-클로로프로필메틸디메톡시실란, 3-클로포프로필트리메톡시실란, 3-메타크릴옥시프로필트리메톡시실란, 3-머캅토프로필트리메톡시실란, 트리메틸클로로실란, 디메틸비닐클로로실란, 메틸디페닐클로로실란, 클로로메틸디메틸클로로실란, 트리메틸메톡시실란, 디메틸디에톡시실란, 메틸디메톡시실란, 디메틸비닐에톡시실란, 디페닐디메톡시실란, 페닐트리에톡시실란, 헥사메틸디실라잔, N,N'-비스(트리메틸실릴)우레아, 디메틸트리메틸실릴아민, 트리메틸실릴이미다졸, 비닐트리클로로실란, 벤조트리아졸, 벤즈이미다졸, 인다졸, 이미다졸, 2-머캅토벤즈이미다졸, 2-머캅토벤조티아졸, 2-머캅토벤즈옥사 졸, 우라졸, 티오우라실, 머캅토이미다졸, 머캅토피리미딘, 1,1-디메틸우레아, 1,3-디메틸우레아 및 티오우레아 화합물을 포함한다. 관능성 실란커플링제는 밀착촉진제로서 바람직하다. 밀착촉진제의 양은 총 고형분 함량 100질량부를 기준으로 10질량부 이하인 것이 바람직하고, 0.05~5질량부인 것이 특히 바람직하다.
또한, 유전율이 낮은 막을 얻기 위해서 기공형성인자를 사용하여서 막의 기계강도가 허용하는 정도까지 다공질막을 형성할 수 있다.
기공형성제로 사용되는 첨가제로서 기공형성인자에 특별히 제한은 없지만 비금속성 화합물이 바람직하다. 막형성 도포액에 사용되는 용제에 대한 용해성 및 본 발명의 폴리머와 상용성 두 가지를 만족시켜야 한다.
기공형성제로서 폴리머를 사용할 수 있다. 기공형성제로서 사용할 수 있는 폴리머의 예는 폴리비닐 방향족 화합물(폴리스티렌, 폴리비닐피리딘 및 할로겐화 폴리비닐 방향족 화합물 등), 폴리아크릴로니트릴, 폴리알킬렌 옥사이드(폴리에틸렌 옥사이드 및 폴리프로필렌 옥사이드 등), 폴리에틸렌, 폴리락트산, 폴리실록산, 폴리카프로락톤, 폴리카프로락탐, 폴리우레탄, 폴리메타크릴레이트(폴리메틸 메타크릴레이트 등), 폴리메타크릴산, 폴리아크릴레이트(폴리메틸 아크릴레이트 등), 폴리아크릴산, 폴리디엔(폴리부타디엔 및 폴리이소프렌), 폴리비닐 클로라이드, 폴리아세탈 및 아민캡 알킬렌 옥사이드를 포함한다. 또한, 폴리페닐렌 옥사이드, 폴리(디메틸실록산), 폴리테트라히드로푸란, 폴리시클로헥실에틸렌, 폴리에틸옥사졸린, 폴리비닐피리딘 및 폴리카프로락톤도 사용할 수 있다.
특히, 폴리스티렌은 기공형성제로서 적절하다. 폴리스티렌으로서, 음이온성 중합 폴리스티렌, 신디오택틱 폴리스티렌 및 미치환 또는 치환 폴리스티렌(예를 들면, 폴리(α-메틸스티렌))을 사용할 수 있고, 미치환 폴리스티렌이 바람직하다.
기공형성제로서 열가소성 폴리머도 사용할 수 있다. 열가소성 기공형성 폴리머의 예는 폴리아크릴레이트, 폴리메타크릴레이트, 폴리부타디엔, 폴리이소프렌, 폴리페닐렌 옥사이드, 폴리프로필렌 옥사이드, 폴리에틸렌 옥사이드, 폴리(디메틸실록산), 폴리테트라히드로푸란, 폴리에틸렌, 폴리시클로헥실에틸렌, 폴리에틸옥사졸린, 폴리카프로락톤, 폴리락트산 및 폴리비닐 피리딘을 포함한다.
기공형성제의 끓는점 또는 분해점은 100~500℃가 바람직하고, 200~450℃가 더욱 바람직하고, 250~400℃가 특히 바람직하다. 그 분자량은 200~50,000이 바람직하고, 300~10,000이 더욱 바람직하며, 400~5,000이 특히 바람직하다.
그 양은 막형성 폴리머에 대하여 질량%로 0.5~75%가 바람직하고, 0.5~30%가 더욱 바람직하고, 1~20%가 특히 바람직하다.
폴리머는 기공형성인자로서 분해성기를 포함할 수 있다. 그 분해점은 100~500℃가 바람직하고, 200~450℃가 더욱 바람직하고, 250~400℃가 특히 바람직하다. 분해성기의 함량은 막형성 폴리머에 대하여 몰%로 0.5~75%이고, 0.5~30%가 더욱 바람직하며, 1~20%가 특히 바람직하다.
본 발명의 막형성 조성물은 필터로 여과하여 불용성 물질, 겔상 성분 등을 제거한 후 막형성에 사용하는 것이 바람직하다. 그러한 목적으로 사용하는 필터는 기공크기가 0.001~0.2㎛이고, 0.005~0.05㎛가 더욱 바람직하고, 0.005~0.03㎛가 가장 바람직하다. 필터는 PTFE, 폴리에틸렌 또는 나일론으로 제조된 것이 바람직하 고, 폴리에틸렌 또는 나일론으로 제조된 것이 더욱 바람직하다.
본 발명의 막형성 조성물을 사용할 수 있는 막은 스핀 코팅, 롤러 코팅, 딥 코팅, 스캔 코팅, 스프레이 또는 바코팅 등 임의의 방법으로 실리콘 웨이퍼, SiO2 웨이퍼, SiN 웨이퍼, 유리 또는 플라스틱막 등 기판에 막형성 조성물을 도포하고 필요하면 가열처리로 용제를 제거하여 형성될 수 있다. 조성물을 기판에 도포하는 방법으로서 스핀 코팅 및 스캔 코팅이 바람직하고, 스핀 코팅이 특히 바람직하다. 스핀 코팅에 있어서, "Clean Track Series"(상품명; Tokyo Electron 제품), "D-spin Series"(상품명; Dainippon Screen 제품) 또는 "SS Series" 또는 "CS Series"(각각 상품명; Tokyo Oka Kogyo 제품) 등 시판하는 장치를 사용하는 것이 바람직하다. 스핀 코팅은 어떤 회전 속도에서도 실시될 수 있으나, 막의 면내 균일성 관점에서 약 1300rpm의 회전속도가 300㎜ 실리콘 기판에 대해서 바람직하다. 조성물의 용액을 토출하는 경우 조성물 용액을 회전기판에 토출하는 동적토출 또는 조성물 용액을 정적기판 토출하는 정적토출이 사용될 수 있다. 그러나, 동적토출이 막의 면내 균일성 관점에서 바람직하다. 또는 조성물의 소비량 저하의 관점에서 미리 기판에 조성물의 주용제만 토출하여 액막을 형성하고, 그 위에 조성물을 토출하는 방법을 사용할 수 있다. 스핀 코팅시간에 특별히 제한은 없지만 스루풋(throughput)의 관점에서 180초 내가 바람직하다. 기판의 반송관점에서 기판의 엣지부에 막이 잔존하지 않도록 기판을 처리(엣지린스 edge rinse 또는 백린스 back rinse)하는 것이 바람직하다. 열처리방법은 특별히 제한은 없으나, 일반적인 핫플레이트 가열, 노(爐)를 사용한 가열, RTP(Rapid Thermal Processor)에서 가열 등의 방법을 사용하여 제논 램프 등의 광을 기판에 조사하는 방법을 사용할 수 있다. 이들 중, 핫플레이트 또는 노를 사용한 가열이 바람직하다. 핫플레이트로서 "Clean Track Series"(상품명; Tokyo Electron 제품), "D-spin Series"(상품명; Dainippon Screen 제품) 또는 "SS Series" 또는 "CS Series"(상품명; Tokyo Oka Kogyo 제품) 등 시판하는 장치가 바람직하며, 노로서는 "α Series"(상품명; Tokyo Electron 제품)이 바람직하다.
본 발명의 폴리머를 기판에 도포하고 가열하여 경화하는 것이 특히 바람직하다. 막의 경화는 기판에 조성물을 경화하여 막의 용제 내성을 부여하는 것을 의미한다. 경화에 있어서, 가열처리(경화)가 특히 바람직하다. 이 목적을 위해서, 후가열(post heating)을 할 때 폴리머에 잔존하는 비닐기의 중합반응이 이용될 수 있다. 후가열처리는 바람직하게는 100~450℃, 더욱 바람직하게는 200~420℃, 특히 바람직하게는 350~400℃의 온도에서, 바람직하게는 1분~2시간, 더욱 바람직하게는 10분~1.5시간, 특히 바람직하게는 30분~1시간 동안 실시한다. 후가열처리를 수회 실시할 수 있다. 이 후가열처리는 산소에 기인한 열산화를 억제하기 위해서 질소 분위기하에서 실시하는 것이 특히 바람직하다.
본 발명에서 경화는 가열처리에 의해 이루어지는 것이 아니라 폴리머에 잔존하는 비닐 또는 에티닐기의 중합반응을 일으키는 고에너지선을 조사하여 이루어지는 것이다. 고에너지선의 예는 전자선, 자외선 및 X선을 포함하지만, 이에 한정되는 것은 아니다.
전자선을 고에너지선으로 사용하는 경우, 에너지는 0~50keV인 것이 바람직하고, 0~30keV가 더욱 바람직하고, 0~20keV가 특히 바람직하다. 전자선의 총량은 0~5μC/㎠가 바람직하고, 0~2μC/㎠가 더욱 바람직하고, 0~1μC/㎠가 특히 바람직하다. 기판온도는 전자선을 조사하는 경우 0~450℃가 바람직하고, 0~400℃가 더욱 바람직하고, 0~350℃가 특히 바람직하다. 압력은 0~133kPa이 바람직하고, 0~60kPa이 더욱 바람직하며, 0~20kPa이 특히 바람직하다. 기판 주위의 분위기는 본 발명의 중합물의 산화를 방지하는 관점에서 Ar, He 또는 질소 등의 불활성 기체인 것이 바람직하다. 산소, 탄화수소 또는 암모니아 기체는 전자선과 상호작용으로 발생하는 플라즈마, 전자파 또는 화학종 등과 반응을 일으킬 목적으로 첨가될 수 있다. 본 발명에서 전자선 조사는 복수회 실시할 수 있다. 이 경우, 전자선 조사는 동일한 조건 하에서 실시할 필요는 없으며, 매회 조건을 변경할 수 있다.
자외선은 고에너지선으로 사용될 수 있다. 자외선의 조사파장영역은 190~400㎚가 바람직하지만, 상기 기판상에 직접 출력은 0.1~2000mW㎝-2가 바람직하다. 자외선을 조사할 때 기판온도는 250~450℃가 바람직하고, 250~400℃가 더욱 바람직하고, 250~350℃가 특히 바람직하다. 기판 주위의 분위기로서 Ar, He 또는 질소 등의 불활성 기체 분위기가 본 발명의 중합물의 산화를 방지하는 관점에서 바람직하다. 이때 압력은 0~133kPa이 바람직하다.
또한 본 발명의 조성물을 열처리와 고에너지선 조사를 동시에 또는 연속적으로 실시하여 경화할 수 있다.
절연막이 형성되는 경우 건조 후 두께로서 약 0.05~1.5㎛의 두께를 갖는 막이 1회 도포에 의해 형성될 수 있고, 약 0.1~3㎛의 두께를 갖는 막이 2회 도포에 의해 형성될 수 있다.
경화를 할 때 케이지 구조의 분해를 방지하기 위해서 조성물의 제조 또는 막형성을 할 때 Si원자에 친핵성 공격을 하는 기(히드록실기 또는 실라놀기 등)가 실질적으로 존재하지 않는 것이 바람직하다.
구체적으로 기술하면, 저유전율 절연막은 본 발명용 조성물을 기판(통상 금속배선을 갖는 기판)에 스핀 코팅 등으로 도포하여 예비 가열처리에 의해 용매를 건조시키고, 300℃ 이상 430℃ 이하의 온도에서 최종 가열처리(어닐링)을 실시함으로써 형성될 수 있다.
본 발명의 막형성 조성물을 사용하여 얻은 막이 반도체용 층간절연막으로 사용되는 경우, 금속전이 억제용 배리어층이 배선의 측면에 형성되어도 좋다. CMP(화학적 기계적 연마)를 하는 동안 박리를 방지하기 위해서 캡층 외에 층간밀착층 등, 식각 정지층(etching stopping layer)이 배선의 상면 또는 저면에, 또는 층간절연막 상에 형성될 수 있다. 또한, 층간절연막의 층은 같은 재료로 만들어질 필요가 없는 복수층일 수 있다.
본 발명의 절연막은 다른 Si함유 절연막 또는 유기막과 적층구조를 형성할 수 있다. 탄화수소계의 막과 적층구조를 형성하는 것이 바람직하다.
본 발명의 막형성 조성물을 사용하여 얻은 막을 구리배선 형성 또는 다른 목적을 위해 에칭가공할 수 있다. 습식 에칭 또는 건식 에칭을 사용할 수 있으나, 건 식 에칭이 바람직하다. 건식 에칭에 있어서 필요한 경우 암모니아 플라즈마 또는 플루오로카본 플라즈마를 사용할 수 있다. 플라즈마에 있어서, Ar만이 아니라 산소, 질소, 수소 또는 헬륨 등의 기체를 사용할 수 있다. 에칭 후, 에칭에 사용된 포토레지스트를 제거할 목적으로 애싱(ashing)할 수 있다. 또한, 애싱잔사를 세정으로 제거할 수 있다.
구리배선 후에 본 발명의 막형성 조성물을 사용하여 얻은 막을 구리 도금부를 평탄화하기 위해 CMP를 실시할 수 있다. CMP 슬러리(화학용액)로서 시판품(예를 들면, Fujimi Incorporated, Rodel Nitta, JSR 또는 Hitachi Chemical 제품)을 필요에 따라 사용할 수 있다. CMP 장치로서 시판품(예를 들면, Applied Material 또는 Ebara Corporation 제품)을 필요에 따라 사용할 수 있다. CMP 후에 슬러리잔사를 제거하기 위해서 막을 세정할 수 있다.
본 발명의 막형성 조성물을 사용할 수 있는 막은 다양한 용도로 사용될 수 있다. 예를 들면, LSI, system LSI, DRAM, SDRAM, RDRAM 및 D-RDRAM 등 반도체소자 및 멀티칩 모듈 다층배선판 등 전자부품의 절연막으로서 적합하다. 더욱 구체적으로는, 반도체용 층간절연막, 식각정지막, 표면보호막 또는 버퍼코트막, LSI용 보호막(passivation film) 또는 α-선 차단막, 플렉소그래피판(flexographic plate)의 커버레이막(cover lay film) 또는 오버코트막, 플렉서블 구리장판(flexible copper-lined plate)용 커버코트(cover coat), 솔더 레지스트(solder resist)막 또는 액정배향막으로서 사용할 수 있다. 또한 광학장치의 표면보호막, 반사방지막 또는 위상차막으로서 사용할 수 있다.
상기 공정에 의해 유전율이 낮은 절연막, 즉 비유전율이 2.5 이하, 바람직하게는 2.3 이하인 절연막을 사용할 수 있다.
[실시예]
이하, 본 발명을 실시예에 의해 더욱 구체적으로 기술한다.
[합성예 1]
에틸 아세테이트 361g에 H2C=CH-Si(O0 .5)3 유닛 8개로 구성된 케이지상 실세스퀴옥산, H2C=CH-Si(O0 .5)3 유닛 10개로 구성된 케이지상 실세스퀴옥산 및 H2C=CH-Si(O0.5)3 유닛 12개로 구성된 케이지형 실세스퀴옥산의 혼합물(모델번호: OL1170, Hybrid Plastics 제품)을 1g 첨가하고, 그 혼합물을 질소기류 중에서 가열환류하였다(내부온도: 78℃). 중합개시제로서, "Luperox 11"(상품명 : ARKEMA YOSHITOMI 제품, 10시간 반감기 온도: 58℃) 4㎕를 에틸 아세테이트 4㎖로 희석하여 얻은 용액을 5시간에 걸쳐 적하첨가하였다. 적하첨가를 종료한 후, 반응 혼합물을 2시간 동안 가열환류하였다. 실온으로 냉각한 후, 상기 혼합물을 액질량 2g까지 감압농축하였다. 다음으로 메탄올 20㎖를 첨가하여 그 혼합물을 1시간 동안 교반하였다. 반응 혼합물을 여과한 후 건조하여 고형분 0.95g을 얻었다. 고형분을 테트라히드로푸란 15㎖에 용해하였다. 교반하면서 물 5.5㎖를 적하첨가하였다. 1시간 교반한 후, 반응 혼합물을 여과한 후 건조하여 고형분 0.52g을 얻었다. 상기 고형분의 GPC 분석 결과로서 출발물질인 케이지형 실세스퀴옥산 화합물의 혼합물보다 분자량이 큰 성분은 Mn이 51,000, Mw가 138,000 및 MZ +1이 370,000이고, 분자량이 3,000,000 이상 인 성분은 함유되지 않았으며, 반응하지 않고 남은 출발물질은 고형분의 3질량% 이하였다. "Waters 2695"(상품명) 및 GPC 컬럼 "KF-805L"(상품명; Shodex 제품)이 GPC용으로 사용되고, 40℃로 컬럼 온도를 설정하고 용출용매로서 테트라히드로푸란을 유량 1㎖/분으로 사용하면서 시료농도 0.5질량%인 테트라히드로푸란 용액 50㎕를 주입하였다. RI검출기("Waters 2414", 상품명)에서 얻은 적분치를 사용하여 단량체의 검량선을 작성하여 고형분 중의 단량체 함량을 정량하였다. Mn, Mw, MZ +1은 표준 폴리스티렌을 사용하여 작성된 검량선을 기초로 산출하였다.
중클로로포름을 측정용매로서 사용하여 고형분의 1H-NMR 스펙트럼 측정결과, 비닐기의 중합으로 얻은 알킬기에서 유래하는 프로톤 피크와 잔존하는 비닐기에서 유래한 프로톤 피크는 43:57의 적분비율로 관찰되었다. 이는 비닐기들의 중합을 시사한다.
시클로헥사논 5㎖를 조성물의 0.3g에 첨가한 후 40℃에서 3시간 동안 교반하여 균일한 용액을 얻어 조성물 A로 하였다.
잔존 단량체의 질량과 첨가제 질량에서 단량체의 비닐기 간의 반응으로 얻은 중합물은 조성물 A 중 고형분의 70질량% 이상인 것이 명백하다.
[합성예 2]
부틸 아세테이트 52.8g에 예시 화합물(Ⅰ-d)(Aldrich 제품) 1g을 첨가하였다. 질소기류 중에서 가열환류(내부온도: 127℃)하면서 "V-601"(상품명; Wako Pure Chemical Industries의 제품, 10시간 반감기 온도: 66℃)의 4㎎을 부틸 아세테이트 4㎖로 희석하여 얻은 용액을 중합개시제로서 2시간에 걸쳐 적하첨가하였다. 적하첨가를 종료한 후, 반응 혼합물을 1시간 동안 가열환류하였다. 중합개시제로서 4-메톡시페놀 20㎎을 첨가하였다. 실온으로 냉각한 후, 혼합물을 액질량 2g으로 감압농축하였다. 다음으로, 메탄올 20㎖를 첨가하고, 그 혼합물을 1시간 동안 교반하였다. 고형물을 여과로 수거한 후 건조하였다. 그 고형분을 테트라히드로푸란의 10㎖에 용해하였다. 교반하면서 물 1.8㎖를 적하첨가하였다. 1시간 동안 교반한 후, 상징액을 경사분리하여 제거하고, 메탄올 10㎖를 잔사에 첨가하였다. 고형물을 여과하여 수거하고 건조시켜 고형분을 0.49g 얻었다. 고형분의 GPC 분석 결과로서 예시 화합물(Ⅰ-d)보다 분자량이 큰 성분은 Mw가 158,000, MZ +1은 310,000, Mn은 89,000이며, 반응하지 않고 남은 예시 화합물(Ⅰ)은 고형분의 3질량% 이하였으며, 분자량이 3,000,000 이상인 성분은 함유되지 않았다. 중클로로포름을 측정용매로서 사용하여 고형분의 1H-NMR 스펙트럼 측정결과, 비닐기들의 중합으로 얻은 알킬기에서 유래된 프로톤 피크 및 잔존하는 비닐기에서 유래된 프로톤 피크가 적분비율 48:52로 관찰되었다. 이는 비닐기들의 중합을 시사한다.
프로필렌 글리콜 메틸 에테르 아세테이트의 5㎖를 조성물 0.3g에 첨가하여 3시간 동안 40℃에서 교반함으로써 균일한 용액을 얻어 본 발명의 성분 B라고 하였다.
잔존 단량체의 질량과 첨가제의 질량에서 단량체의 비닐기 간의 반응에 의해 얻은 중합물은 조성물 중 고형분의 70질량% 이상인 것이 명백하다.
[합성예 3]
부틸 아세테이트 26.4g에 예시 화합물(Ⅰ-d)(Aldrich 제품) 1g을 첨가하였다. 질소기류 중에서 가열환류(내부온도: 127℃)하면서 "V-601"(상품명; Wako Pure Chemical Industries의 제품, 10시간 반감기 온도: 66℃)의 2㎎을 부틸 아세테이트 2㎖로 희석하여 얻은 용액을 중합개시제로서 2시간에 걸쳐 적하첨가하였다. 적하첨가를 완료한 후, 반응 혼합물을 1시간 동안 가열환류하였다. 중합개시제로서 4-메톡시페놀 20㎎을 첨가하였다. 실온으로 냉각한 후, 혼합물을 액질량 2g으로 감압농축하였다. 다음으로, 메탄올 20㎖를 첨가하고, 그 혼합물을 1시간 동안 교반하였다. 고형물을 여과로 수거한 후 건조하였다. 그 고형분을 테트라히드로푸란 15㎖에 용해하였다. 교반하면서 물 6㎖를 적하첨가하였다. 1시간 동안 교반한 후, 상징액을 경사분리하여 제거하고, 메탄올 10㎖를 잔사에 첨가하였다. 고형물을 여과하여 수거하고 건조시켜 고형분을 0.60g 얻었다. 고형분의 GPC 분석 결과로서 예시 화합물(Ⅰ-d)보다 분자량이 큰 성분은 Mn은 34,000, Mw가 118,000, MZ +1은 270,000이었고, 반응하지 않고 남은 예시 화합물(Ⅰ-d)은 고형분의 3질량% 이하였으며, 분자량이 3,000,000 이상인 성분은 함유되지 않았다. 중클로로포름을 측정용매로서 사용하여 고형분의 1H-NMR 스펙트럼 측정결과, 비닐기들의 중합으로 얻은 알킬기에서 유래된 프로톤 피크 및 잔존하는 비닐기에서 유래된 프로톤 피크가 적분비율 42:58로 관찰되었다. 이는 비닐기들의 중합을 시사한다.
프로필렌 글리콜 메틸 에테르 아세테이트의 5㎖를 조성물 0.3g에 첨가하여 3 시간 동안 40℃에서 교반함으로써 균일한 용액을 얻어 본 발명의 성분 C라고 하였다.
잔존 단량체의 질량과 첨가제의 질량에서 단량체의 비닐기 간의 반응에 의해 얻은 중합물은 조성물 중 고형분의 70질량% 이상인 것이 명백하다.
[합성예 4]
부틸 아세테이트 13.2g에 예시 화합물(Ⅰ-d)(Aldrich 제품) 1g을 첨가하였다. 질소기류 중에서 가열환류(내부온도: 127℃)하면서 "V-401"(상품명; Wako Pure Chemical Industries의 제품, 10시간 반감기 온도: 88℃)의 1㎎을 부틸 아세테이트 1㎖로 희석하여 얻은 용액을 2시간에 걸쳐 적하첨가하였다. 적하첨가를 완료한 후, 반응 혼합물을 1시간 동안 가열환류하였다. 중합개시제로서 4-메톡시페놀 20㎎을 첨가하였다. 실온으로 냉각한 후, 혼합물을 액질량 2g으로 감압농축하였다. 다음으로, 메탄올 20㎖를 첨가하고, 그 혼합물을 1시간 동안 교반하였다. 고형물을 여과로 수거한 후 건조하였다. 그 고형분을 테트라히드로푸란 10㎖에 용해하였다. 교반하면서 물 1.8㎖를 적하첨가하였다. 1시간 동안 교반한 후, 상징액을 경사분리하여 제거하고, 메탄올 10㎖를 잔사에 첨가하였다. 고형물을 여과하여 수거하고 건조시켜 고형분을 0.41g 얻었다. 고형분의 GPC 분석 결과로서 예시 화합물(Ⅰ-d)보다 분자량이 큰 성분은 Mw가 128,000, MZ +1은 380,000, Mn은 31,000 이었고, 반응하지 않고 남은 예시 화합물(Ⅰ-d)은 고형분의 3질량% 이하였으며, 분자량이 3,000,000 이상인 성분은 함유되지 않았다. 중클로로포름을 측정용매로서 사용하여 고형분의 1H- NMR 스펙트럼 측정결과, 비닐기들의 중합으로 얻은 알킬기에서 유래된 프로톤 피크 및 잔존하는 비닐기에서 유래된 프로톤 피크가 적분비율 53:47로 관찰되었다. 이는 비닐기들의 중합을 시사한다.
프로필렌 글리콜 메틸 에테르 아세테이트의 5㎖를 조성물 0.3g에 첨가하여 3시간 동안 40℃에서 교반함으로써 균일한 용액을 얻어 본 발명의 성분 D라고 하였다.
잔존 단량체의 질량과 첨가제의 질량에서 단량체의 비닐기 간의 반응에 의해 얻은 중합물은 조성물 중 고형분의 70질량% 이상인 것이 명백하다.
[합성예 5(비교예)]
부틸 아세테이트 4g에 예시 화합물(Ⅰ-d)(Aldrich 제품) 1g을 첨가하였다. 질소기류 중에서 가열환류(내부온도: 127℃)하면서 "V-601"(상품명; Wako Pure Chemical Industries의 제품, 10시간 반감기 온도: 88℃)의 0.5㎎을 부틸 아세테이트 1㎖로 희석하여 얻은 용액을 2시간에 걸쳐 적하첨가하였다. 적하첨가를 완료한 후, 반응 혼합물을 1시간 동안 가열환류하였다. 실온으로 냉각한 후, 혼합물을 액질량 2g으로 감압농축하였다. 다음으로, 메탄올 20㎖를 첨가하고, 그 혼합물을 1시간 동안 교반하였다. 고형물을 여과로 수거한 후 건조하여 고형분을 0.69g 얻었다. 고형분의 GPC 분석 결과로서 예시 화합물(Ⅰ-d)보다 분자량이 큰 성분은 Mw가 378,000, MZ +1은 1,091,000, Mn은 9,000이었고, 반응하지 않고 남은 화합물(Ⅰ-d)은 고형분의 32질량%이였으며, 분자량이 3,000,000 이상인 성분은 RI 검출기에서 얻은 적분치로 0.1% 함유하였다.
조성물의 0.3g을 시클로헥사논 5㎖에 첨가하고, 그 혼합물을 3시간 동안 40℃에서 교반하였다(조성물 E).
Figure 112008015335852-PAT00009
상기 합성예에서 제조된 조성물 A~E 각각은 기공 크기 0.2㎛인 Teflon(상품명) 필터로 여과하여, 그 여과물을 스핀 코팅으로 4인치 실리콘 웨이퍼에 도포하였다. 핫플레이트로 130℃에서 1분 동안 그리고 200℃에서 30분 동안 가열하여 기판을 건조한 후 질소 분위기하의 클린오븐(clean oven)에서 400℃로 30분 동안 가열하여 막을 형성하였다.
막의 유전율을 Four Dimensions 제품인 수은 프로브를 사용하여 측정하였다(25℃에서). 굴절률과 막손실률을 분광 타원 분석기(Spectroscopic ellipsometer)(VASE, 상품명, J. A. Woollam Co 제품)를 사용하여 측정하였다.
4원환 구조의 파괴가 3원환 구조를 형성한다는 생각을 기초로 경화 전후의 환상 실록산 구조의 변화를 라만 분광측정으로 측정하였다. 환상 실록산 결합의 파괴는, 라만스펙트럼에서 3원환 구조의 Si-O-Si 결합에 기인한 610㎝- 1부근의 피크 높이를 4원환 구조의 Si-O-Si 결합에 기인한 약 495㎝- 1부근의 피크 높이로 나누어 얻은 비율(H)이 큰 경우에 발생한다.
클린오븐(KOYO THERMO SYSTEM의 제품)에서 경화를 하였다. 열경화에 있어서, 열처리는 질소분위기에서 1시간 동안 400℃에서 실시하였다.
평가 결과는 표 1에 나타내었다. 실시예 A(조성물 A)와 실시예 E(조성물 E)의 라만 스펙트럼은 도 1 및 2에 각각 도시하였다.
조성물 H 굴절률 비유전율
A - 1.34 2.24
B - 1.33 2.17
C - 1.34 2.26
D - 1.35 2.29
E(비교예) 0.5 1.48 2.75
실시예 A와 E의 라만스펙트럼에서 3원환 구조가 경화에 의해 조성물 E에서 형성되고 케이지 구조가 파괴되는 것이 명백하다.
표 1 및 도 1과 2에 나타낸 결과는 본 발명의 절연막이 양호한 면상태를 갖고, 굴절률과 유전율이 낮다는 것을 보여주고 있다.
본 발명은 막품질의 균일성이 뛰어나고, 밀도와 굴절률이 낮으며, 유전율 및 영률 등의 막특성이 우수하여 반도체소자 등의 층간절연막 또는 광학장치의 저굴절률막으로 적합한 절연막을 제공할 수 있다.
본 발명에서 그 외국의 우선권 이익을 주장한 각 외국특허출원의 전체 명세서는 여기에 참조로서 삽입되었다.
도 1은 실시예 A(조성물 A)의 라만 스펙트럼이다.
도 2는 실시예 E(조성물 E)의 라만 스펙트럼이다.

Claims (6)

  1. 치환기로서 2 이상의 불포화기를 갖고, 환상 실록산구조를 갖는 케이지형 실세스퀴옥산 화합물을 중합하여 얻은 고분자 화합물을 기판상에 경화하여 얻은 반도체소자용 절연막으로서;
    상기 케이지형 실세스퀴옥산 화합물의 구조는 경화로 파괴되지 않는 것을 특징으로 하는 반도체소자용 절연막.
  2. 치환기로서 2 이상의 불포화기를 갖고, 환상 실록산구조를 갖는 케이지형 실세스퀴옥산 화합물을 중합하여 얻은 고분자 화합물을 기판상에 경화하여 얻은 반도체소자용 절연막으로서;
    경화 후에 막의 라만 스펙트럼에서 610㎝- 1부근의 피크가 관찰되지 않는 것을 특징으로 하는 반도체소자용 절연막.
  3. 제 1 항에 있어서,
    상기 케이지형 실세스퀴옥산 화합물은 m개의 RSi(O0.5)3유닛을 갖고, 상기 m은 8~16의 정수를 나타내며, 2 이상의 R은 각각 비닐 또는 에티닐 함유기인 것을 조건으로 R은 각각 독립적으로 비가수분해성기를 나타내고,
    상기 각각의 유닛은 공유하는 산소원자를 통해 다른 유닛과 연결되어 케이지 형 구조를 형성하는 것을 특징으로 하는 반도체소자용 절연막.
  4. 제 3 항에 있어서,
    상기 m은 8, 10 또는 12의 정수를 나타내는 것을 특징으로 하는 반도체소자용 절연막.
  5. 제 3 항에 있어서,
    상기 2 이상의 R은 비닐기인 것을 특징으로 하는 반도체소자용 절연막.
  6. 제 5 항에 있어서,
    상기 R은 모두 비닐기인 것을 특징으로 하는 반도체소자용 절연막.
KR1020080019292A 2007-03-02 2008-02-29 절연막 KR101475307B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2007-00053402 2007-03-02
JP2007053402A JP5140290B2 (ja) 2007-03-02 2007-03-02 絶縁膜

Publications (2)

Publication Number Publication Date
KR20080080949A true KR20080080949A (ko) 2008-09-05
KR101475307B1 KR101475307B1 (ko) 2014-12-22

Family

ID=39740810

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080019292A KR101475307B1 (ko) 2007-03-02 2008-02-29 절연막

Country Status (5)

Country Link
US (1) US7772690B2 (ko)
JP (1) JP5140290B2 (ko)
KR (1) KR101475307B1 (ko)
CN (1) CN101257002B (ko)
TW (1) TWI425568B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101401419B1 (ko) * 2012-11-30 2014-05-30 한국과학기술연구원 저유전 층간 절연물질 및 그 제조방법

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5401118B2 (ja) 2008-12-10 2014-01-29 富士フイルム株式会社 組成物
JP2011084672A (ja) * 2009-10-16 2011-04-28 Fujifilm Corp 光学材料用組成物
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
CN111093843B (zh) * 2017-09-26 2023-01-24 富士胶片株式会社 薄膜的制造方法
CN108034434A (zh) * 2017-12-19 2018-05-15 深圳市华星光电技术有限公司 热聚合自取向液晶材料及液晶显示面板的制作方法
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4825347B2 (ja) * 2000-09-29 2011-11-30 三菱マテリアル株式会社 有機ケイ素化合物からなる多孔質膜及びその製造方法
TW591058B (en) * 2001-04-09 2004-06-11 Sekisui Chemical Co Ltd Photoreactive composition
JP2002363414A (ja) * 2001-06-12 2002-12-18 Asahi Kasei Corp 籠状シルセスキオキサン含有組成物
JP2003213001A (ja) * 2001-11-13 2003-07-30 Sekisui Chem Co Ltd 光反応性組成物
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
JP2006216793A (ja) * 2005-02-03 2006-08-17 Seiko Epson Corp 絶縁膜、絶縁膜の形成方法、半導体素子、電子デバイスおよび電子機器
JP4714832B2 (ja) * 2006-02-14 2011-06-29 独立行政法人物質・材料研究機構 高速高精度の海塩粒子発生装置
JP5054925B2 (ja) * 2006-03-20 2012-10-24 富士フイルム株式会社 組成物、絶縁膜、およびその製造方法
JP2007284652A (ja) * 2006-03-20 2007-11-01 Fujifilm Corp 組成物、膜、およびその製造方法
JP4802120B2 (ja) * 2007-03-02 2011-10-26 富士フイルム株式会社 絶縁膜形成用組成物および絶縁膜製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101401419B1 (ko) * 2012-11-30 2014-05-30 한국과학기술연구원 저유전 층간 절연물질 및 그 제조방법

Also Published As

Publication number Publication date
US20080217746A1 (en) 2008-09-11
US7772690B2 (en) 2010-08-10
JP2008214487A (ja) 2008-09-18
JP5140290B2 (ja) 2013-02-06
CN101257002A (zh) 2008-09-03
TWI425568B (zh) 2014-02-01
TW200903635A (en) 2009-01-16
KR101475307B1 (ko) 2014-12-22
CN101257002B (zh) 2011-09-07

Similar Documents

Publication Publication Date Title
KR101475307B1 (ko) 절연막
US20080081121A1 (en) Composition, film and formation process thereof
US7820777B2 (en) Composition, film and producing method therefor
JP5401118B2 (ja) 組成物
KR20080080943A (ko) 절연막 형성 조성물
JP2007284652A (ja) 組成物、膜、およびその製造方法
US20070224436A1 (en) Film forming composition
US20080076850A1 (en) Production method of insulating film, insulating film, stacked product and electronic device
US8013077B2 (en) Insulating film forming composition and production method of insulating film
JP2008218632A (ja) 電子デバイス
US7569649B2 (en) Film forming composition, insulating film, and electronic device
JP2009088256A (ja) 絶縁膜
JP2007211104A (ja) 重合体の製造方法、重合体、膜形成用組成物、絶縁膜及び電子デバイス
US20080076870A1 (en) Composition, film and production method thereof
US20080161521A1 (en) Production method of insulating film forming composition, insulating film forming composition produced by the production method, insulating film and electronic device
US20070246684A1 (en) Film forming composition
JP4802120B2 (ja) 絶縁膜形成用組成物および絶縁膜製造方法
JP4792282B2 (ja) 重合体および膜形成用組成物
JP2008218639A (ja) 絶縁膜
JP4677398B2 (ja) 低誘電率膜形成用組成物、絶縁膜及び電子デバイス
JP2009227838A (ja) 膜形成用組成物、絶縁膜、及び、電子デバイス
JP2008081538A (ja) 炭素−炭素三重結合を有する化合物の重合体の製造方法及び該製造方法によって製造された重合体、並びに該重合体を用いた膜形成用組成物、絶縁膜及び電子デバイス
JP2009126887A (ja) シリコーン樹脂の製造方法
JP2008081540A (ja) 炭素−炭素三重結合を有する化合物の重合方法と該重合方法を用いて重合した重合体、該重合体を含む、膜形成用組成物、絶縁膜及び電子デバイス
JP2008077985A (ja) 絶縁膜形成用組成物、絶縁膜の製造方法、絶縁膜および半導体デバイス

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20171114

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191202

Year of fee payment: 6