KR20080025295A - 위상 이동 포토마스크 및 그의 제조 방법 - Google Patents

위상 이동 포토마스크 및 그의 제조 방법 Download PDF

Info

Publication number
KR20080025295A
KR20080025295A KR1020070084282A KR20070084282A KR20080025295A KR 20080025295 A KR20080025295 A KR 20080025295A KR 1020070084282 A KR1020070084282 A KR 1020070084282A KR 20070084282 A KR20070084282 A KR 20070084282A KR 20080025295 A KR20080025295 A KR 20080025295A
Authority
KR
South Korea
Prior art keywords
layer
substrate
etching
film stack
phase shift
Prior art date
Application number
KR1020070084282A
Other languages
English (en)
Inventor
스코트 알랜 앤더슨
시아오이 첸
마이클 엔. 그림베르겐
아제이 쿠마르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080025295A publication Critical patent/KR20080025295A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/32Attenuating PSM [att-PSM], e.g. halftone PSM or PSM having semi-transparent phase shift portion; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Abstract

투과성 기판에 형성된 패터닝된 막 스택을 포함하는 위상 이동 포토마스크 및 상기 포토마스크를 제조하는 방법이 개시된다. 일 실시예에서, 막 스택은 리소그래피 시스템의 조명원의 광에 대해 예정된 값의 투명도를 가지는 제 1 층과 예상된 위상 이동을 용이하게 하며 광을 실질적으로 투과시키는 제 2 층을 포함한다.

Description

위상 이동 포토마스크 및 그의 제조 방법{PHASE SHIFTING PHOTOMASK AND A METHOD OF FABRICATING THEREOF}
본 발명의 실시예들은 전반적으로 집적회로를 제조하기 위한 반도체 산업에서 이용되는 포토마스크에 관한 것으로, 보다 상세하게는 위상 이동 포토마스크 및 포토마스크의 제조 방법에 관한 것이다.
집적회로(IC) 또는 칩의 제조에 있어, 칩의 상이한 층들의 패턴들은 재사용가능한 포토마스크 또는 레티클을 사용하여 생성된다. 통상적으로 15-30개 이상의 레티클 세트가 칩을 구성하는데 이용되며, 레티클은 반복적으로 사용될 수 있다. 본 명세서에서, "포토마스크" 및 "레티클"이란 용어는 상호교환되어 사용된다. 각각의 포토마스크는 반도체 기판 상에 배치된 포토레지스트층에 각각의 칩 층의 설계를 리소그래피방식으로 전사 또는 프린트한다. 포토마스크의 정밀도는 포토마스크의 결함이 칩으로 전사되어, 잠재적으로 회로 성능에 악영향을 줄 수 있기 때문에 매우 중요하다.
일반적으로 종래의 포토마스크는 포토레지스트층에 전사되는 이미지의 불투명한 영역들을 형성하는 패터닝된 크롬층을 갖는 광-투과 글래스 또는 석영 기판을 포함한다. 150nm 이하의 피쳐(features)로 개발된 프린팅에 적합한 포토마스크는 각각의 리소그래피 시스템의 조명원에 의해 사용되는 광의 간섭 특성을 이용하며 위상 이동 포토마스크로서 업계에 공지되어 있다. 위상 이동 포토마스크는 교번식 광학적 길이를 가지는 영역들의 패턴을 포함한다. 통상적으로, 인접한 영역에서, 광학적 길이의 차는 포토레지스트를 노출시키기 위해 이용되는 광의 파장의 대략 절반이다. 이러한 포토마스크는 종래의 포토마스크의 광학적 제한을 능가하는 해상도로 이미지들의 전사를 용이하게 한다.
그러나, 위상 이동 포토마스크의 개발에 기여한 상당한 노력에도 불구하고, 포토마스크 및 포토마스크의 제조 방법에 대한 추가의 개선안이 요구되고 있다.
위상 이동 포토마스크 및 위상 이동 포토마스크의 제조 방법이 제공된다. 일 실시예에서, 위상 이동 포토마스크는 투명 기판 상에 형성된 패터닝된 막 스택을 포함한다. 막 스택은 기판에 배치되며 광에 대한 예정된 값의 투명도를 가지는 제 1 층, 및 제 1 층 상에 배치된 제 2 층을 포함하며, 제 1 층 및 제 2 층은 실질적으로 광을 투과시키는 개구부를 통과하는 광을 기준으로 제 1 및 제 2 층들을 통과하는 광의 위상 이동이 180도가 되도록 선택된다.
또 다른 실시예에서, 위상 이동 포토마스크는 기판, 및 기판 상에 형성되며 그 내부에 형성된 적어도 하나의 개구부를 가지며 기판의 일부를 노출시키는 막 스택을 포함하며, 막 스택은 탄탈층 상에 배치된 적어도 하나의 실리콘 이산화물층을 포함하며, 막 스택 및 기판은 기판을 기준으로 막 스택을 통과하는 광의 위상이 180도가 되는 특성을 갖는다.
또 다른 실시예에서, 위상 이동 포토마스크를 제조하는 방법은, 리소그래피 시스템의 조명원에 의해 생성된 광을 실질적으로 투과시키는 기판을 제공하는 단계 - 상기 기판은 막 스택을 포함하며, 막 스택 및 기판은 기판을 기준으로 막 스택을 통과하는 광이 180도 위상 이동되게 하는 특성을 가지며, 막 스택은 상부 희생층, 광에 대해 예정된 값의 투명도를 가지는 제 1 층, 및 광을 실질적으로 투과시키는 제 2 층을 더 포함함 - ; 상부 희생층 상에 패터닝된 에칭 마스크를 형성하는 단계; 상부 희생층의 노출된 부분들을 에칭하는 단계; 제 2 층의 노출된 부분들을 에칭하는 단계; 에칭 정지층으로서 기판을 사용하여 제 1 층의 노출된 부분들을 에칭하는 단계; 패터닝된 에칭 마스크를 제거하는 단계; 및 상부 희생층을 제거하는 단계를 포함한다.
또 다른 실시예에서, 위상 이동 포토마스크를 제조하는 방법은, 탄탈(Ta)층, 실리콘 이산화물(SiO2)층, 크롬(Cr) 함유층, 및 Cr 함유층 상에 패터닝된 포토레지스트 에칭 마스크를 갖는 석영 기판을 제공하는 단계; 에칭 마스크를 통해 노출된 Cr 함유층의 부분들을 에칭하는 단계; 에칭된 Cr 함유층을 통해 노출된 SiO2층의 부분들을 에칭하는 단계; 에칭 정지층으로서 기판을 사용하여 에칭된 SiO2층을 통해 노출된 Ta층의 부분들을 에칭하는 단계; 패터닝된 에칭 마스크를 제거하는 단계; 및 Cr 함유층을 제거하는 단계를 포함한다.
"발명의 내용"은 본 발명의 전체 범위를 나타내고자 하는 것은 아니며, 본 발명의 추가적 특징 및 다른 실시예들은 하기 보다 상세한 설명을 통해, 특히 첨부된 도면을 참조로 보다 명확해질 것이다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다. 그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있다는 것을 주지해야 한다.
발명의 이해를 돕기 위해, 부재들을 구별하기 위해 접미사가 부가될 수 있다는 것을 제외하고는, 도면에서 공통되는 동일한 부재들을 나타내는데 가능한 동일한 참조번호를 사용했다. 도면의 이미지들은 도시를 위해 간략화된 것이며 실제크기대로 도시된 것은 아니다. 일 실시예의 특징 및 단계들은 별다른 인용 없이 다른 실시예에 바람직하게 통합될 수 있다.
도 1은 본 발명의 일 실시예에 따라 위상 이동 포토마스크(PSM)를 제조하는 방법(100)을 나타내는 흐름도이다. 일부 실시예에서, 방법 단계들은 개별 프로세싱 반응기를 사용하여 수행된다. 선택적 실시예에서, 적어도 2개의 방법 단계가 동일한 프로세싱 반응기에서(즉, 인-시튜로) 또는 상이한 반응기에서 수행될 수 있다.
일 실시예에서, 방법(100)의 에칭 프로세스(블록 106, 108, 110을 참조)는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수가능한 테트라Ⅰ 또 는 테트라Ⅱ 포토마스크 플라즈마 반응기 또는 분리 플라즈마 소스(DPS
Figure 112007060608214-PAT00001
)Ⅱ 반응기를 사용하여 수행된다. 이러한 반응기들의 두드러진 특징은 도 3을 참조로 하기에 설명된다. DPS
Figure 112007060608214-PAT00002
Ⅱ 반응기는 통상적으로 어플라이드 머티리얼스사로부터 입수가능한 Centura
Figure 112007060608214-PAT00003
통합 프로세싱 시스템의 프로세싱 모듈로서 이용된다. 당업자는 이러한 에칭 프로세스가 다른 제조사로부터 입수가능한 에칭 반응기를 사용하여 수행될 수 있다는 것을 인식할 것이다.
도 2a-2h는 도 1의 방법(100)의 연속적 블록들 동안 제조되는 PSM의 막 스택을 포함하는 기판의 일부분의 개략적 단면도들이다. 도 2a-2h의 단면도들은 방법(00)의 개별적 프로세싱 단계들을 나타낸다. 본 발명의 보다 명확한 이해를 위해, 도 1 및 도 2a-2h를 동시에 참조해야 한다. 서브-프로세스 및 리소그래픽 절차들(예를 들어, 포토레지스트의 노광 및 현상, 웨이퍼 세정 과정 등)은 업계에 공지되어 있어, 도 1 및 도 2a-2h에는 도시되지 않는다.
방법(100)은 블록(102)에서 시작되며, PSM 제조에 적합한 막 스택(220)이 기판(202) 상에 형성된다(도 2a). 특정 실시예에서, 기판(202)은 리소그래피 시스템에서 사용되도록 구성된다. 기판(202)은 예를 들어, 193nm, 158nm, 또는 보다 짧은 파장에서 방사되는 원-자외선(deep-ultraviolet) 엑시머 레이저의 광에 대해 PSM을 이용하는 리소그래피 시스템의 광원에 의해 제공되는 광에 대해 광학적으로 투과성 있는 석영 또는 다른 물질(예를 들어, 유리)로 형성될 수 있다.
일반적으로 막 스택(220)은 반-투과성층(204), 위상-이동층(206), 및 희생 보호층(208)을 포함하며, 이들은 기판(202) 상에 연속적으로 증착된다. 또 다른 실시예에서, 층들(204, 206)은 상이한 순서로 기판(202) 상에 증착될 수 있다. 일반적으로 희생 보호층(208)은 반-투과성층(204)과 위상-이동층(206)을 패터닝하기 위한 방법(100) 동안 사용되는 에칭 프로세스를 견딜 수 있는 물질로 형성된다.
도 2a에 도시된 실시예에서, 막 스택(220)은 연속적으로 증착된 탄탈(Ta)층(204), 실리콘 이산화물(SiO2 또는 석영)층(206), 및 크롬(Cr)층(208)을 포함한다. Ta층은 약 5 내지 약 50nm 범위의 두께를 갖는다. 이러한 두께가 형성될 경우, Ta층(204)은 리소그래피 시스템에 사용되는 조명원의 조명에 대해 예정된 값의 투명도를 제공한다. SiO2층(206)은 조명원의 광을 실질적으로 투과시키며 약 50 내지 약 300nm 범위의 예정된 두께로 형성된다. PSM 제조시, SiO2층(및, 일부 실시예에서, Ta 및 SiO2 또는 석영층의 조합된 효과)은 마스크를 통과할 때 리소그래피 시스템에 사용되는 광의 예정된 위상 이동(예를 들어, 약 180도 위상 이동)을 용이하게 한다. Cr층(208)은 약 20 내지 약 200nm 범위의 두께를 갖는 희생 보호층이다. 일 실시예에서, 층들(204, 206, 208)의 두께는 각각 대략 20, 150 및 60nm이다.
층들(204, 206, 208)은 유사한 광학적 또는 물리적 특성을 갖는 다른 물질로 제조될 수 있다. 특히, 층(204)은 조명원의 광에 대해 제어된 투명도를 제공하는 물질로 형성될 수 있으며 층(206)은 광에 대한 투과성 물질로 형성될 수 있는 반면, 층(208)의 물질은 방법(100)의 프로세싱 단계들과 호환성이 있는 것을 기초로 선택된다. 예를 들어, 선택적 실시예에서, 층들(204, 206, 208)은 MoSixOyNz 스택으로 제조될 수 있다. 이처럼, 막 스택(220)의 층들에 특정한 Ta, SiO2, 및 Cr이 사용은 예시적인 것으로 본 발명의 범주를 제한하고자 하는 것은 아니다.
막 스택(220)의 층들은 임의적으로 종래의 박막 증착 기술, 예를 들어, 원자층 증착(ALD), 물리적 기상 증착(PVD), 화학적 기상 증착(CVD), 플라즈마 강화 CVD(PECVD) 등을 사용하여 형성될 수 있다. 층들은 예를 들어, CENTURA
Figure 112007060608214-PAT00004
, ENDURA
Figure 112007060608214-PAT00005
에 해당하는 반응기들, 및 어플라이드 머티리얼스사로부터 입수가능한 다른 프로세싱 시스템, 또는 다른 제조사의 프로세싱 반응기들을 이용하여 증착될 수 있다.
블록(104)에서, 포토레지스트층(210)이 희생 보호층(208)에 제공되고(도 2b), 다음 종래의 리소그래피 절차를 사용하여, 층(210)이 패터닝되어 포토레지스트 마스크(216)를 형성한다(도 2c). 포토레지스트 마스크(216)의 개구부들은 방법(100)의 연속적 단계들 동안 막 스택(220)의 층들에 형성될 피쳐들(214)의 위치 및 구조적(topographic) 치수를 한정한다. 피쳐들(214)은 콘택, 트렌치, OPC 피쳐 등과 같은 형상을 포함하는, 상이한 구조 또는 형상을 가질 수 있다.
층(210)은 포토레지스트층(210)을 패터닝하기 위해 사용되는 광의 반사를 제어하는 선택적 비반사층(212)을 포함할 수 있으며 광 반사로부터 야기되는 패턴 전사 프로세스에서의 부정확도를 감소시킬 수 있다. 층(212)은 통상적으로 실리콘 질화물(SiN), 폴리아미드 등과 같은 물질의 하나 이상의 막들로 형성된다.
블록(106)에서, 희생 보호층(208)은 에칭 마스크로서 포토레지스트 마스크(216)를 사용하여 에칭된다(도 2d). 블록(106)의 에칭 프로세스의 완료에 따라, 피쳐들(214)이 마스크(216)로부터 희생 보호층(208)으로 전사된다.
일 실시예에서, Cr층(208)은 적어도 하나의 염소-함유 가스(예를 들어, 염소(Cl2)) 또는 적어도 하나의 불소-함유 가스(예를 들어, 육불화황(SF6) 또는 사불화탄소(CF4))를 포함하는 플라즈마를 이용하여 에칭된다. Cr 에칭을 위한 이러한 프로세스는 2006년 3월 28일자로 발행된 공동 양도된 미국 특허 No. 7,018,934 B2호에 개시되어 있다. 다른 적절한 에칭 프로세스가 Cr층(208) 에칭을 위해 이용될 수도 있다.
블록(108)에서, 위상-이동층(206)은 포토레지스트 마스크(216), 및 선택적으로 또는 부가적으로 에칭 마스크로서 희생 보호층(208)의 하부 부분들을 사용하여 에칭된다(도 2e). 선택적 실시예에서(미도시), 위상-이동층(206)을 에칭하기 이전에, 포토레지스트 마스크(216)는 예를 들어, 종래의 애싱 또는 습식 에칭 프로세스를 이용하여 제거될 수 있다. 이전 블록(106) 동안, 포토레지스트 마스크(216)는 부분적으로 부식될 수 있으며, 패터닝된 희생 보호층(208)은 층(206)에 형성되는 피쳐들(214)의 구조를 한정하는 하드 에칭 마스크로서 이용된다.
블록(108)의 에칭 프로세스는 에칭 정지층으로서 반-투과성층(204)을 이용한다. 에칭 프로세스의 엔드포인트를 검출하기 위해, 에칭 반응기는 특정 파장에서 플라즈마 방출, 레이저 간섭법, 프로세스 시간 제어 등을 모니터링하기 위해 엔드 포인트 검출 시스템을 이용할 수 있다. 특정 실시예에서, 3363 옴스트롱의 사불화실리콘(SiF4) 분자선에서의 방출은 엔드포인트 검출 시스템에 의해 이용될 수 있다. 선택적으로 3871-3883 옴스트롱의 시아노겐(CN) 분자선에서의 방출은 엔드포인트 검출 시스템에 의해 이용될 수 있다.
일 실시예에서, SiO2층(206)은 약 2 내지 약 100sccm 유량의 사불화탄소(CF4) 및 약 5 내지 약 100sccm 유량의 트리플루오로메탄(CHF3)(즉, CF4:CHF3 유량비 범위는 약 1:50 내지 약 10:1)을 제공하고, 약 13.56MHz의 주파수에서 약 100 내지 약 1500W 사이의 플라즈마 전력원을 인가하고, 약 500 Hz 내지 10kHz의 주파수에서 약 10 내지 약 200W 사이의 바이어스 전력을 인가하고, 약 0.5 내지 약 20mTorr 사이의 챔버 압력을 유지함으로써 에칭된다. 일부 실시예에서, 에칭 프로세스는 연속파 또는 펄스형 플라즈마 소스; 및/또는 연속파 또는 펄스형 바이어스 전력을 이용할 수 있으며, 또는 다단계 에칭 프로세스로서 실행될 수 있다. 일부 실시예에서, 앞서 말한 방법들의 일부 조합이 이용될 수 있다.
일 실시예에서, CF4가 약 12.5sccm의 유량으로 제공되며, CHF3가 약 22.5sccm의 유량으로 제공되며(즉, CF4:CHF3의 유량비는 약 1:1.8), 약 425W의 플라즈마 전력원은 약 13.56MHz의 주파수에서, 약 50W의 바이어스 전력을 인가하며, 챔버 압력은 약 2mTorr로 유지된다. 이러한 에칭 프로세스는 약 88-90도의 측벽 각도를 가지는 피쳐들(214)을 형성한다. 프로세스는 Cr(층(208))에 대한 SiO2(층(206))에 대해 적어도 약 15:1의 에칭 선택도를 가지며, 포토레지스트(마스크(216))에 대한 SiO2에 대해 적어도 약 0.5:1의 에칭 선택도를 갖는다.
또 다른 실시예에서, 블록(108)은 2005년 1월 8일자로 출원된, 공동 양도된 미국 특허 출원 번호 11/031,885호에 개시된 에칭 프로세스를 이용할 수 있다.
블록(110)에서, 반-투과성층(204)은 포토레지스트 마스크(216) 및 선택적으로 또는 부가적으로 에칭 마스크로서 희생 보호층(208)의 하부 부분들을 이용하여 에칭된다(도 2f). 블록(110)의 에칭 프로세스는 에칭 정지층으로서 기판(202)을 이용한다. 특정 실시예에서, 3311 옴스트롱의 탄탈 라인에서의 방출은 엔드포인트 검출 시스템에 의해 이용된다.
또 다른 실시예에서(미도시), 반-투과성층(204)의 에칭 이전에, 포토레지스트 마스크(216)는 예를 들어, 종래의 애싱 또는 습식 에칭 프로세스를 이용하여 제거될 수 있다. 이전 단계들(106, 108) 동안, 포토레지스트 마스크(216)는 부분적으로 부식될 수 있으며, 패터닝된 희생 보호층(208)은 층(204)에 형성된 피쳐들(214)의 구조(topology)를 한정하는 하드 에칭 마스크로서 이용된다.
일 실시예에서, Ta 층(204)은 약 10 내지 약 200sccm 유량의 염소(Cl2) 및 약 10 내지 약 200sccm 유량의 아르곤(Ar)을 제공하고, 약 13.56MHz의 주파수에서 약 75 내지 약 1500W 사이의 플라즈마 전력원을 인가하고, 약 5 내지 약 100W 사이의 바이어스 전력을 인가하고, 약 1 내지 약 20mTorr 사이의 챔버 압력을 유지함으로써 에칭된다. 일부 실시예에서, 에칭 프로세스는 연속파 또는 펄스형 플라즈마 소스; 및/또는 연속파 또는 펄스형 바이어스 전력을 이용할 수 있으며, 또는 다단계 에칭 프로세스로서 수행될 수 있다. 일부 실시예에서, 앞서 언급된 소정의 방법들의 조합이 이용될 수 있다.
일 실시예에서, Cl2가 40sccm의 유량으로 제공되고, Ar이 약 40sccm의 유량으로 제공되고(즉, Cl2:Ar 유량비는 약 1:1), 약 300W의 플라즈마 소스 전력은 약 13.56MHz의 주파수에서 약 25W의 바이어스 전력을 인가하며, 챔버 압력은 약 2 mTorr로 유지된다. 상기 프로세스는 석영(기판(202), 층(206))에 대한 Ta(층(204))에 대해 적어도 약 10:1의 에칭 선택도, 및 포토레지스트(마스크(216))에 대한 Ta에 대해 약 1:1의 에칭 선택도를 갖는다.
블록(112)에서, 포토레지스트 마스크(216)는 예를 들어, 애싱 프로세스 또는 습식 에칭 프로세스를 이용하여 막 스택(220)으로부터 제거된다(도 2g). 앞서 개시된 것처럼 블록(108) 블록들(108, 110)을 참조로, 일부 실시예에서 포토레지스트 마스크(216)는 이들 단계중 하나의 단계 동안 제거될 수 있다. 이처럼, 블록(112)은 이 지점의 제조 시퀀스에서 요구되지 않을 수 있다.
블록(114)에서, 희생 보호층(208)이 위상-이동층(206)으로부터 제거된다(도 2h). 일 실시예에서, 블록(114)은 다른 공급자들 중에서도, 메사추세츠 댄버의 트랜세네 콤파니, 인크. 로부터 입수가능한 적어도 하나의 과염소-계(perchloric-based) 솔벤트(예를 들어, 시나텍 CR-7s, CRE-473, TFD/1020 등)를 이용하여 습식 에칭 프로세스를 수행한다. 상기 프로세스들은 석영(기판(202), 층(206))에 대한 Cr(층(208))의 적어도 약 20:1의 에칭 선택도를 달성하며, Ta(층(204))에 대한 Cr의 적어도 약 10:1의 에칭 선택도를 달성한다. 선택적으로, 희생 보호층(208)은 블록(106)을 참조로 앞서 개시된 에칭 프로세스를 이용하여 제거될 수 있다.
희생 보호층(208)이 제거된 후, 막 스택(220)의 나머지 부분들과 함께 기판(202)은 PSM(218)을 형성한다. 동작시, PSM(218)이 영역(222)을 통해 전파되는 리소그래피 시스템의 조명원으로부터의 광(224)의 광선들은 기판(202) 및 피쳐들(214)을 통해 전파되는 광선들에 대해 예정된 위상 이동을 겪게된다. PSM(218)은 적어도 약 45nm 이하의 임계 치수를 갖는 부재들의 집적회로를 제조하는데 이용될 수이다. 블록(114)의 완료에 따라, 방법(100)이 종결된다.
도 3은 방법(100)의 에칭 프로세스를 수행하기에 적합한 예시적인 프로세싱 반응기의 상부 개략도이다. 반응기(300)의 특정 실시예가 도시를 위해 제공되며 이는 본 발명의 범주를 제한하는데 이용되는 것은 아니다. 예를 들어, 다른 제조자로부터의 반응기를 포함하여 다른 형태의 반응기들이 본 발명의 방법을 수행하도록 구성될 수 있다.
일반적으로 반응기(300)는 전도성 바디(챔버 벽(304))내에 배치된 기판 페데스탈(324)을 가지는 프로세스 챔버(302), 및 제어기(346)를 포함한다. 또한, 반응기(300)는 프로세스 제어, 내부 진단, 엔드 포인트 검출 등을 위한 통상적인 시스템들을 포함한다. 이와 같은 시스템들은 총체적으로 지지 시스템(354)으로 도시된다.
도시된 실시예에서, 챔버(302)는 실질적으로 평탄한 유전체 실링(308)을 갖 는다. 챔버(302)의 다른 변형으로 예를 들어 돔 형상의 실링과 같은 다른 형상의 실링을 가질 수 있다. 플라즈마 발생 안테나(310)가 실링(308) 상에 배치된다. 안테나(310)는 선택적으로 제어될 수 있는 하나 이상의 유도성 코일 부재(2개의 동축 부재(310a, 310b)가 도시됨)를 포함한다. 안테나(310)는 제 1 매칭 네트워크(314)를 통해 플라즈마 전력원(312)과 결합된다. 플라즈마 전력원(312)은 약 50kHz 내지 13.56MHz 또는 그 이상의 범위의 동조가능한 무선 주파수(RF)에서 약 3000 와트(W)에 이르는 전력을 생성할 수 있다.
기판 페데스탈(캐소드)(324)는 제 2 매칭 네트워크(342)를 통해 바이어싱 전력원(340)과 결합된다. 바이어싱 전력원(340)은 약 13.56 MHz의 주파수에서 1500w에 이르는 전력을 생성할 수 있고 연속형 또는 펄스형 전력을 생성할 수 있다. 또 다른 실시예에서, 바이어싱 전력원(340)은 상이한 주파수에서 동작하거나 DC 또는 펄스형 DC 소스일 수 있다.
일 실시예에서, DPS
Figure 112007060608214-PAT00006
Ⅱ 반응기 처럼, 기판 지지 페데스탈(324)은 정전기 척(360)을 포함한다. 정전기 척(360)은 적어도 하나의 클램핑 전극(332)을 포함하며 척 전력원(355)에 의해 제어된다. 또 다른 실시예에서, 테트라Ⅰ 또는 테트라Ⅱ 포토마스크 반응기처럼, 기판 지지 페데스탈(324)은 서셉터 클램프 링, 기계적 척 등가 같은 다른 기판 보유 메커니즘을 포함한다.
챔버 벽(304)은 금속으로 형성되며 반응기의 전기적 접지(306)와 결합된다. 챔버 벽(304)의 온도는 챔버 벽(304)에 배치된 액체-함유 도관들(미도시)을 사용하여 제어될 수 있다.
가스 패널(320)은 프로세스 챔버(302)와 결합되어 프로세스 챔버(302)의 내부에 프로세스 및/또는 다른 가스들을 제공한다. 도시된 실시예에서, 가스 패널(320)은 챔버 벽(304)의 채널(318)에 형성된 하나 이상의 입구(316)와 결합된다. 선택적으로 또는 부가적으로, 입구(316)는 예를 들어, 프로세스 챔버(302)의 실링(308)에 제공될 수도 있다. 프로세스 챔버(302)의 가스 압력은 트로틀 밸브(362) 및 진공 펌프(364)를 사용하여 제어된다.
레티클 어댑터(382)는 기판 지지 페데스탈(324) 상에서 기판(레티클 또는 다른 제품)(322)을 고정하는데 이용된다. 일반적으로 레티클 어댑터(382)는 기판 지지 페데스탈(324)의 상부 표면을 커버하는 하부 부분(384), 개구부(388)를 갖는 상부 부분(386), 및 에지 링(326)을 포함한다. 개구부(388)는 기판(322)을 보유하는 크기 및 형상이다. 어댑터(382)는 폴리이미드, 세라믹, 또는 석영과 같이, 에칭 및 고온을 견디는 물질로 형성된다. 이러한 레티클 어댑터중 하나가 2001년 6월 26일자로 발행되었으며 공동으로 양도된 미국 특허 No. 6,251,217호에 개시되었다.
동작시, 승강 메커니즘(338)은 어댑터(383) 및 기판(322)을 기판 지지 페데스탈(324) 상에/로부터 하강/상승시키는데 이용된다. 승강 메커니즘(338)은 각각의 가이드 홀(336)을 통해 이동하는 다수의 리프트 핀(하나의 리프트 핀(330)이 도시됨)을 포함한다.
기판(322)의 온도는 기판 지지 페데스탈(324)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 기판 지지 페데스탈(324)은 히터(344) 및 선택적 히트 싱크(328)를 포함한다. 일 실시예에서, 히터(344)는 히터 전력 공급부(368)에 의해 조절되는 적어도 하나의 가열 부재(334)를 포함한다. 선택적으로, 가스 소스(356)로부터의 후방 가스(예를 들어, 헬륨(He))가 가스 도관(358)을 통해 기판 지지 페데스탈(324)의 상부 표면 부근에 형성된 채널에 제공된다. 후방 가스는 기판 지지 페데스탈(324)과 기판(322) 사이의 열 전달을 용이하게 하는데 이용된다. 선택적으로, 히터(344)는 열 전달 유체가 흐를 수 있게 구성된 하나 이상의 유체 도관을 포함할 수 있다.
제어기(346)는 반응기(300)의 부품들의 제어를 용이하게 하며, 중앙 처리 장치(CPU)(350), 메모리(348), 및 지지 회로(352)를 포함한다. 제어기(346)는 산업적 셋팅에 이용되는 임의의 형태의 범용성 컴퓨터 프로세서 중 하나일 수 있다. 메모리(348)는 쉽게 이용가능한 디지털 저장 장치, 로컬 또는 리모트일 수 있다. 일반적으로 지지 회로(352)는 캐쉬, 전력원들, 클록 회로, 입/출력 회로 등을 포함한다. 통상적으로, 본 발명의 방법(100)의 에칭 프로세스는 메모리(348) 또는 CPU(350)에 의해 액세스 가능한 다른 컴퓨터-판독가능 매체에 소프트웨어 루틴으로서 저장된다. 선택적으로 또는 부가적으로, 적어도 이러한 소프트웨어 루틴의 부분들은 반응기(300)로부터 원격적으로 위치된 CPU(미도시)에 의해 저장 또는 실행될 수 있다.
본 명세서에 개시된 발명은 특정한 실시예를 참조로 개시되었으나, 이러한 실시예들은 단지 본 발명의 원리 및 적용을 도시하기 위한 것이다. 따라서, 다양한 변형의 실시예들이 구현될 수 있으며, 첨부되는 특허청구범위에 의해 한정되는 본 발명의 범주 및 사상을 이탈하지 않고 다른 구성들이 고안될 수 있다.
도 1은 본 발명의 일 실시예에 따라 위상 이동 포토마스크를 제조하는 방법을 나타내는 흐름도이다.
도 2a-2h는 도 1의 방법의 연속적 단계 동안 위상 이동 포토마스크의 막 스택을 포함하는 기판의 일부분의 개략적 단면도이다.
도 3은 도 1의 방법의 부분들을 수행하기에 적합한 예시적인 프로세승 반응기의 개략적 상부도이다.

Claims (22)

  1. 위상 이동 포토마스크로서,
    리소그래피 시스템의 조명원에 의해 생성된 형태의 광을 실질적으로 투과시키는 기판; 및 상기 기판 상에 형성되며 적어도 하나의 개구부를 가지는 패터닝된 막 스택을 포함하며,
    상기 막 스택은 상기 기판내에 배치되며 상기 광에 대해 예정된 값의 투명도를 가지는 제 1 층; 및 상기 제 1 층 상에 배치되는 제 2 층을 포함하며,
    상기 제 1 층 및 제 2 층은 상기 제 1 층 및 제 2 층을 통해 형성된 개구부를 통과하는 광에 대해 상기 제 1 층 및 제 2 층을 통과하는 광의 위상이 180도 이동하도록 선택되는, 위상 이동 포토마스크.
  2. 제 1 항에 있어서,
    상기 제 1 층은 탄탈(Ta)층이고 상기 제 2 층은 실리콘 이산화물(SiO2)층인 것을 특징으로 하는 위상 이동 포토마스크.
  3. 제 1 항에 있어서,
    상기 기판은 석영 또는 유리로 형성되는 것을 특징으로 하는 위상 이동 포토마스크.
  4. 제 1 항에 있어서,
    상기 막 스택은 MoSixOyNz 스택으로 형성되는 것을 특징으로 하는 위상 이동 포토마스크.
  5. 제 1 항에 있어서,
    상기 제 2 층은 유전체로 형성되는 것을 특징으로 하는 위상 이동 포토마스크.
  6. 제 1 항에 있어서,
    상기 제 1 층은 탄탈(Ta)로 형성되며 약 5 내지 약 50nm 사이의 두께를 갖는 것을 특징으로 하는 위상 이동 포토마스크.
  7. 제 1 항에 있어서,
    상기 제 2 층은 실리콘 이산화물(SiO2)로 형성되며 약 50 내지 약 300nm 사이의 두께를 갖는 것을 특징으로 하는 위상 이동 포토마스크.
  8. 위상 이동 포토마스크로서,
    기판; 및 상기 기판 상에 형성되며 그 내부에 적어도 하나의 개구부가 형성 되며 상기 기판의 일부를 노출시키는 막 스택을 포함하며,
    상기 막 스택은 탄탈층 상에 배치된 적어도 하나의 실리콘 이산화물층을 포함하며,
    상기 막 스택 및 기판은 상기 기판을 단독으로 통과하는 광에 대해 상기 막 스택을 통과하는 광의 위상이 180도 이동되게 하는 특성을 갖는, 위상 이동 포토마스크.
  9. 위상 이동 포토마스크 제조 방법으로서,
    리소그래피 시스템의 조명원에 의해 생성된 광을 실질적으로 투과시키며, 막 스택을 포함하는 기판을 제공하는 단계 - 상기 막 스택은,
    상부 희생층;
    상기 광에 대해 예정된 값의 투명도를 가지는 제 1 층; 및
    상기 광을 실질적으로 투과시키는 제 2 층
    을 포함함 - ;
    상기 상부 희생층 상에 패터닝된 에칭 마스크를 형성하는 단계;
    상기 상부 희생층의 노출된 부분들을 에칭하는 단계;
    상기 제 2 층의 노출된 부분들을 에칭하는 단계;
    에칭 정지층으로서 상기 기판을 사용하여 상기 제 1 층의 노출된 부분들을 에칭하는 단계;
    상기 패터닝된 에칭 마스크를 제거하는 단계; 및
    상기 상부 희생층을 제거하는 단계
    를 포함하며, 나머지 막 스택 및 상기 기판은 상기 막 스택의 개구부를 통과하는 광에 대해 상기 막 스택을 통과하는 광의 위상이 180도 이동되게 하는 특성을 갖는, 위상 이동 포토마스크 제조 방법.
  10. 제 9 항에 있어서,
    상기 제 1 층은 금속으로 형성되며, 상기 제 2 층은 유전체로 형성되는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  11. 제 9 항에 있어서,
    상기 기판은 석영으로 형성되며,
    상기 패터닝된 에칭 마스크는 포토레지스트를 포함하며,
    상기 상부 희생층은 크롬(Cr)으로 형성되며 약 20 내지 약 200nm의 두께를 가지며,
    상기 제 1 층은 탄탈(Ta)로 형성되며 약 5 내지 약 50nm 범위의 예정된 두께를 가지며,
    상기 제 2 층은 실리콘 이산화물(SiO2)로 형성되며 약 50 내지 약 300nm 범위의 예정된 두께를 가지는, 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  12. 제 11 항에 있어서,
    상기 상부 희생층의 노출된 부분들을 에칭하는 단계는 적어도 하나의 염소-함유 가스 또는 적어도 하나의 불소-함유 가스를 포함하는 플라즈마를 제공하는 단계를 더 포함하는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  13. 제 11 항에 있어서,
    상기 제 2 층의 노출된 부분들을 에칭하는 단계는,
    약 1:50 내지 약 10:1 범위의 CF4:CHF3의 유량비로 사불화탄소(CF4) 및 테트라플루오로메탄(CHF3)을 흘려보내는 단계;
    약 100 내지 약 1500W 사이의 플라즈마 소스 전력을 인가하는 단계;
    약 10 내지 약 200W 사이의 바이어스 전력을 인가하는 단계; 및
    약 0.5 내지 약 20mTorr 사이의 가스 압력을 프로세싱 챔버내에서 유지하는 단계
    를 더 포함하는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  14. 제 11 항에 있어서,
    상기 제 1 층의 노출된 부분들을 에칭하는 단계는,
    약 1:20 내지 약 20:1 범위의 Cl2:Ar의 유량비로 염소(Cl2) 및 아르곤을 흘려보내는 단계;
    약 75 내지 약 1500W 사이의 플라즈마 소스 전력을 인가하는 단계;
    약 5 내지 약 100W 사이의 바이어스 전력을 인가하는 단계; 및
    약 1 내지 약 20mTorr 사이의 가스 압력을 프로세싱 챔버내에서 유지하는 단계
    를 더 포함하는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  15. 제 11 항에 있어서,
    애싱 프로세스 또는 습식 에칭 프로세스를 이용하여 상기 패터닝된 에칭 마스크를 제거하는 단계를 더 포함하는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  16. 제 11 항에 있어서,
    적어도 염소-함유 가스 또는 적어도 불소-함유 가스를 포함하는 플라즈마 또는 적어도 하나의 과염소-계(perchloric-based) 솔벤트를 사용하여 상기 상부 희생층을 제거하는 단계를 더 포함하는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  17. 위상 이동 포토마스크를 제조하는 방법으로서,
    탄탈(Ta)층, 실리콘 이산화물(SiO2)층, 크롬(Cr) 함유층, 및 상기 Cr 함유층 상에 패터닝된 포토레지스트 에칭 마스크를 포함하는 석영 기판을 제공하는 단계;
    상기 에칭 마스크를 통해 노출된 상기 Cr 함유층의 부분들을 에칭하는 단계;
    상기 에칭된 Cr 함유층을 통해 노출된 SiO2층의 부분들을 에칭하는 단계;
    에칭 정지층으로서 상기 기판을 사용하여 상기 에칭된 SiO2층을 통해 노출된 Ta층의 부분들을 에칭하는 단계;
    상기 패터닝된 에칭 마스크를 제거하는 단계; 및
    상기 Cr 함유층을 제거하는 단계
    를 포함하는, 위상 이동 포토마스크 제조 방법.
  18. 제 17 항에 있어서,
    상기 Cr 함유층은 약 20 내지 약 200nm의 두께를 가지며 상기 SiO2층은 약 50 내지 약 300nm 범위의 두께를 가지며, 상기 Ta층은 약 5 내지 약 50nm 범위의 두께를 갖는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  19. 제 17 항에 있어서,
    상기 Cr 함유층의 노출된 부분들을 에칭하는 단계는 적어도 하나의 염소-함유 가스 또는 적어도 하나의 불소-함유 가스를 포함하는 플라즈마를 제공하는 단계를 더 포함하는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  20. 제 17 항에 있어서,
    상기 SiO2층의 노출된 부분들을 에칭하는 단계는,
    약 1:50 내지 약 10:1 범위의 CF4:CHF3의 유량비로 사불화탄소(CF4) 및 테트라플루오로메탄(CHF3)을 프로세싱 챔버에 제공하는 단계;
    약 100 내지 약 1500W 사이의 플라즈마 소스 전력을 인가하는 단계;
    약 10 내지 약 200W 사이의 바이어스 전력을 인가하는 단계; 및
    약 0.5 내지 약 20mTorr 사이의 가스 압력을 프로세싱 챔버내에서 유지하는 단계
    를 더 포함하는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  21. 제 17 항에 있어서,
    상기 Ta층의 노출된 부분들을 에칭하는 단계는,
    약 1:20 내지 약 20:1 범위의 Cl2:Ar의 유량비로 염소(Cl2) 및 아르곤을 프로세싱 챔버에 제공하는 단계;
    약 75 내지 약 1500W 사이의 플라즈마 소스 전력을 인가하는 단계;
    약 5 내지 약 100W 사이의 바이어스 전력을 인가하는 단계; 및
    약 1 내지 약 20mTorr 사이의 가스 압력을 프로세싱 챔버내에서 유지하는 단계
    를 더 포함하는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
  22. 제 17 항에 있어서,
    상기 Cr 함유층을 제거하는 단계는 적어도 하나의 염소-함유 가스 또는 적어도 하나의 불소-함유 가슬르 포함하는 플라즈마, 또는 적어도 하나의 과염소-계(perchloric-based) 솔벤트에 상기 Cr 함유층을 노출시키는 적어도 하나의 단계를 포함하는 것을 특징으로 하는 위상 이동 포토마스크 제조 방법.
KR1020070084282A 2006-09-15 2007-08-22 위상 이동 포토마스크 및 그의 제조 방법 KR20080025295A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US82590006P 2006-09-15 2006-09-15
US60/825,900 2006-09-15

Publications (1)

Publication Number Publication Date
KR20080025295A true KR20080025295A (ko) 2008-03-20

Family

ID=38895782

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070084282A KR20080025295A (ko) 2006-09-15 2007-08-22 위상 이동 포토마스크 및 그의 제조 방법

Country Status (6)

Country Link
US (1) US7635546B2 (ko)
EP (1) EP1901118A2 (ko)
JP (1) JP5459945B2 (ko)
KR (1) KR20080025295A (ko)
CN (1) CN101144971B (ko)
TW (1) TWI375859B (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5386856B2 (ja) * 2008-06-03 2014-01-15 株式会社Sumco 貼り合わせウェーハの製造方法
CN102915911B (zh) * 2012-09-24 2014-12-10 中国电子科技集团公司第五十五研究所 一种改善碳化硅台面底部的刻蚀方法
KR101794738B1 (ko) * 2014-11-19 2017-11-07 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리 방법
US9922806B2 (en) * 2015-06-23 2018-03-20 Tokyo Electron Limited Etching method and plasma processing apparatus
KR102420018B1 (ko) 2015-11-17 2022-07-12 삼성전자주식회사 나노 안테나 제조방법
CN107331612A (zh) * 2016-04-29 2017-11-07 上海磁宇信息科技有限公司 一种制作磁性随机存储器反应离子束刻蚀硬掩膜的方法
US20220390827A1 (en) * 2021-06-07 2022-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Lithography mask and methods

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07110571A (ja) * 1993-10-12 1995-04-25 Toppan Printing Co Ltd 光学マスクおよびマスクブランク
US6569595B1 (en) * 1999-02-25 2003-05-27 Kabushiki Kaisha Toshiba Method of forming a pattern
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
JP3993005B2 (ja) * 2002-03-22 2007-10-17 Hoya株式会社 ハーフトーン型位相シフトマスクブランク、ハーフトーン型位相シフトマスク及びその製造方法、並びにパターン転写方法
JP3818171B2 (ja) * 2002-02-22 2006-09-06 Hoya株式会社 位相シフトマスクブランク及びその製造方法
JP2002303966A (ja) * 2001-04-06 2002-10-18 Sony Corp マスクの製造方法
CN100440038C (zh) 2001-11-27 2008-12-03 Hoya株式会社 半色调型相移掩膜坯料、半色调型相移掩膜及其制造方法
DE10307518B4 (de) * 2002-02-22 2011-04-14 Hoya Corp. Halbtonphasenschiebermaskenrohling, Halbtonphasenschiebermaske und Verfahren zu deren Herstellung
JP3641460B2 (ja) * 2002-02-22 2005-04-20 Hoya株式会社 ハーフトーン型位相シフトマスクブランク及びハーフトーン型位相シフトマスク
JP4212025B2 (ja) * 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
US6942813B2 (en) * 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US6841484B2 (en) * 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
US7521000B2 (en) * 2003-08-28 2009-04-21 Applied Materials, Inc. Process for etching photomasks
US7029803B2 (en) 2003-09-05 2006-04-18 Schott Ag Attenuating phase shift mask blank and photomask
US7879510B2 (en) * 2005-01-08 2011-02-01 Applied Materials, Inc. Method for quartz photomask plasma etching
US7790334B2 (en) * 2005-01-27 2010-09-07 Applied Materials, Inc. Method for photomask plasma etching using a protected mask
US8293430B2 (en) * 2005-01-27 2012-10-23 Applied Materials, Inc. Method for etching a molybdenum layer suitable for photomask fabrication
US7829243B2 (en) * 2005-01-27 2010-11-09 Applied Materials, Inc. Method for plasma etching a chromium layer suitable for photomask fabrication
US7375038B2 (en) * 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication

Also Published As

Publication number Publication date
US20080070130A1 (en) 2008-03-20
CN101144971B (zh) 2011-03-30
TWI375859B (en) 2012-11-01
US7635546B2 (en) 2009-12-22
JP2008070882A (ja) 2008-03-27
TW200821747A (en) 2008-05-16
CN101144971A (zh) 2008-03-19
JP5459945B2 (ja) 2014-04-02
EP1901118A2 (en) 2008-03-19

Similar Documents

Publication Publication Date Title
KR100822294B1 (ko) 포토마스크 제조에 적합한 몰리브덴층을 에칭하는 방법
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
US7879510B2 (en) Method for quartz photomask plasma etching
KR100925080B1 (ko) 셀프-마스킹층을 갖는 포토마스크 및 그의 에칭 방법
US7635546B2 (en) Phase shifting photomask and a method of fabricating thereof
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20090825

Effective date: 20110728