KR20080025294A - 극자외선(euv) 포토마스크를 에칭하는 방법 - Google Patents

극자외선(euv) 포토마스크를 에칭하는 방법 Download PDF

Info

Publication number
KR20080025294A
KR20080025294A KR1020070083885A KR20070083885A KR20080025294A KR 20080025294 A KR20080025294 A KR 20080025294A KR 1020070083885 A KR1020070083885 A KR 1020070083885A KR 20070083885 A KR20070083885 A KR 20070083885A KR 20080025294 A KR20080025294 A KR 20080025294A
Authority
KR
South Korea
Prior art keywords
layer
etching
self
bulk
mask
Prior art date
Application number
KR1020070083885A
Other languages
English (en)
Inventor
반퀴우 유
마드하비 알. 찬드라츄드
아제이 쿠마르
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20080025294A publication Critical patent/KR20080025294A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

EUV 포토마스크를 에칭하는 방법들의 실시예가 제공된다. 일 실시예에서, 극자외선(extreme ultraviolet) 포토마스크를 에칭하는 방법은, 순서대로 기판, 다중-물질층, 캡핑층 및 다층 흡수층을 포함하는 포토마스크를 제공하는 단계 - 상기 다층 흡수층은 벌크 흡수층 위에 배치되는 셀프-마스크층을 포함하며, 셀프-마스크층은 탄탈 및 산소를 포함하며 벌크 흡수층은 탄탈은 포함하나 본질적으로 산소는 포함하지 않음 - ; 제 1 에칭 프로세스를 이용하여 셀프-마스크층을 에칭하는 단계; 및 제 1 에칭 프로세스와 상이한 제 2 에칭 프로세스를 이용하여 벌크 흡수층을 에칭하는 단계를 포함하며, 벌크 흡수층의 에칭률은 제 2 에칭 프로세스 동안 셀프-마스크층의 에칭률보다 크다.

Description

극자외선(EUV) 포토마스크를 에칭하는 방법{METHOD OF ETCHING EXTREME ULTRAVIOLET LIGHT(EUV) PHOTOMASKS}
본 발명은 전반적으로 반도체 장치의 제조에 이용되는 포토마스크에 관한 것이며, 특히 극자외선(EUV) 포토마스크 및 이의 에칭 방법에 관한 것이다.
집적회로(IC), 또는 칩의 제조시, 칩의 상이한 층들을 나타내는 패턴들이 일련의 재사용가능 포토마스크(본 명세서에서는 마스크로 간주됨) 상에 형성되어 제조 프로세스 동안 반도체 기판 상에 각각의 칩 층의 설계가 전사된다. 마스크들은 반도체 기판 상에 각각의 층에 대한 회로 패턴을 전사하기 위해네거티브형(negative) 포토그래픽과 같이 사용된다. 이러한 층들은 프로세스의 시퀀스를 이용하여 형성되며 각각의 완성된 칩을 포함하는 작은 트랜지스터들 및 전기 회로들로 전달된다. 따라서, 마스크에서의 임의의 결함은 칩에 전달되어, 잠재적으로 악영향을 미칠 수 있다. 상당히 심한 결함들은 마스크를 완전히 사용할 수 없게 만들 수도 있다. 통상적으로, 칩을 구성하는데는 15 내지 30개의 마스크 세트가 사용되며 이는 반복적으로 사용될 수 있다.
일반적으로 마스크는 그 상부에 불투명한 광흡수층이 배치된 투명 기판을 포 함한다. 종래에, 마스크는 통상적으로 한쪽 측면 상에 크롬층을 갖는 유리 또는 석영 기판을 포함한다. 크롬층은 비반사 코팅 및 광감성 레지스트로 커버된다. 패터닝 프로세스 동안, 회로 설계는 예를 들어, 전자 빔 또는 자외선 광에 레지스트의 부분들을 노출시킴으로써 마스크 상에 기록되며, 노출된 부분들은 현상액에서 용해된다. 다음 레지스트의 용해 부분이 제거되어, 노출된 하부 크롬 및 비반사층들이 에칭된다(즉, 제거된다).
임계선폭(CD)이 축소됨에 따라, 현재의 광학적 리소그래피는 45나노미터(nm) 기술 노드에서의 기술적 한계에 이르렀다. 차세대 리소그래피(NGL)가 예를 들어, 32nm 기술 노드 및 그 이상으로, 현재의 광학적 리소그래피 방법을 대체할 것으로 예상된다. 극자외선(EUV) 리소그래피(EUVL), 전자 투영 리소그래피(EPL), 이온 투영 리소그래피(IPL), 나노임프린트 및 X-레이 리소그래피와 같은 몇 가지 유망한 NGL이 있다. 이 중에서, EUV는, EUVL이 광학적 리소그래피의 바람직한 특성을 갖는다는 사실로 인해 가장 유망한 후보가 되었으며, 다른 NGL 방법들과 비교할 때 보다 완성된 기술이다.
그러나 EUV 마스크 제조는 해결해야할 기술적 문제점들이 있다. 예를 들면, EUV 마스크 에칭 프로세스 최적화는 아직 개발 단계에 있다. EUV 마스크 제조의 주요 문제점으로는 에칭 CD 마이어스 제어, 에칭 CD 균일성, 단면 프로파일, 에칭 CD 선형성, 에칭 선택도, 및 결함도 제어가 포함된다. EUV 마스크의 엄격한 사양(tight specification) 및 감소된 CD 톨러런스로 인해, CD 제어는 보다 중요시되었다. 거의 제로의 에칭 CD 바이어스는 목표치에 대한 평균(MTT) CD 요구조건 및 균일성 제어를 충족시키기 위해 요구되는 것으로 예상된다.
주요 에칭 CD 바이어스 문제는 소프트 마스크인 포토레지스트의 부식으로 부터 야기된다. 최종 마스크 CD 특성은 패턴 생성 및 패턴 전사 프로세스(에칭)에 기여도이다. 일부 본질적인 CD 불균일성은 e-빔 기록 프로세스로 인해 포토레지스트에서 포깅 효과(fogging effect)와 같이, 에칭 이전에 존재할 수 있다. 얇은 레지스트층은 이러한 불균일성 제어를 돕지만, 레지스트 두께는 제한된 에칭 선택도로 인해 순차적으로 에칭되는 층들의 두께에 의해 제한된다(예를 들어, 패터닝을 전사하는 동안, 레지스트에 대한 흡수 물질의 제한된 에칭률 때문에, 레지스트가 상당히 소모된다). 보다 많은 레지스트가 소모되면, 패턴 전사 프로세스의 완성도가 낮아진다.
포토레지스트의 한계를 극복하기 위해, CD 제어를 위해 하드 마스크 사용이 제안되었다. 그러나 과도한 하드 마스크는 제조를 보다 복잡하게 할 수 있다. 하드 마스크가 기능을 완료한 경우, 다른 층들에 영향을 주지 않고(예를 들어, 흡수층 및 버퍼/캡핑층들에 영향을 주지 않고, 그리고 마스크로 임의의 결함을 주입하지 않고) 제어되어야 한다. 이는 높은 마스크 선택도 조건을 부여하여, EUV 마스크 제조를 보다 어렵게 만든다. 하드 마스크의 사용에 의해 야기되는 고비용 및 낮은 생산성이 추가로 고려된다.
따라서, 개선된 EUV 마스크 및 이의 제조 방법이 요구된다.
EUV 포토마스크를 에칭하는 방법의 실시예들이 제공된다. 일 실시예에서, 극자외선 포토마스크를 에칭하는 방법은, 순서대로 기판, 다중-물질 EUV 반사층, 캡핑층, 및 다층 흡수층을 포함하는 포토마스크를 제공하는 단계 - 상기 다층 흡수층은 벌크 흡수층 위에 배치된 셀프-마스크층을 포함하며, 셀프-마스크층은 탄탈 및 산소를 포함하며 벌크 흡수층은 탄탈을 포함하며 본질적으로 산소를 포함하지 않음 - ; 제 1 에칭 프로세스를 이용하여 셀프-마스크층을 에칭하는 단계; 및 제 1 에칭 프로세스와 상이한 제 2 에칭 프로세스를 이용하여 벌크 흡수층을 에칭하는 단계를 포함하며, 벌크 흡수층의 에칭률은 제 2 에칭 프로세스 동안 셀프-마스크층의 에칭률 보다 크다.
또 다른 실시예에서, 포토레지스트층, 비반사 서브층 및 벌크 서브층을 갖는 포토레지스트층 아래 놓인 불투명층, 캡핑층, 및 기판층을 포함하는 블랭크 극자외선 포토마스크 상에 이미지를 형성하는 방법은, 포토레지스트층에 패터닝된 이미지를 생성하는 단계; 패터닝된 이미지에 해당하지 않는 불투명층의 비반사 서브층의 부부분들이 노출되도록, 패터닝된 이미지에 해당하지 않는 포토레지스트층의 부분들을 제거하는 단계; 패터닝된 이미지에 해당하지 않는 벌크 서브층의 부분들이 노출되도록, 제 1 에칭 프로세스를 이용하여 패터닝된 이미지에 해당하지 않는 비반사 서브층의 노출된 부분들을 제거하는 단계; 패터닝된 이미지에 해당하지 않는 캡핑층의 부분들이 노출되도록, 비반사 서브층 제거율 보다 적어도 10배 큰 벌크 서브층 제거율을 갖는 제 2 에칭 프로세스를 이용하여 패터닝된 이미지에 해당하지 않는 비반사 서브층 아래 놓인 벌크 서브층의 노출된 부분들을 제거하는 단계; 및 포토레지스트층을 제거하는 단계를 포함한다.
본 발명의 앞서 언급된 특징들을 본 발명의 보다 상세한 설명, 상기 간략한 설명을 통해 이해할 수 있도록, 첨부되는 도면에 도시된 몇 가지 실시예를 참조한다. 그러나 첨부되는 도면은 단지 본 발명의 전형적인 실시예만을 나타내는 것으로, 본 발명의 범주를 제한하고자 하는 것은 아니며, 본 발명은 등가적인 다른 실시예를 구현할 수 있는 것을 주지해야 한다.
발명의 이해를 돕기 위해 도면에서 공통되는 동일한 부재들을 나타내는데 가능한 동일한 참조번호를 사용했다. 도면의 이미지들은 도시를 위해 간략화된 것이며 실제크기대로 도시된 것은 아니다.
본 발명은 에칭 CD 바이어스를 감소시키고 패턴 전사 완성도를 개선하는 EUV 포토마스크 에칭 방법을 제공한다. 블랭크 EUV 마스크로부터 개선된 임계선폭 치수 및 균일성을 갖는 완성된 마스크를 형성하는 프로세스는 도 1-2를 참조로 하기에 개시된다. 도 1A-C는 본 발명의 방법의 일 실시예를 이용하는 EUV 마스크에 대한 제조 시퀀스의 일 실시예를 나타낸다. 도 2는 도 1A-C를 참조로 개시된 것처럼 EUV 마스크를 에칭하기 위한 방법(200)의 일 실시예의 흐름도를 나타낸다. 방법(200)은 캘리포니아 산타클라라의 어플라이드 머티어리얼스사로부터 입수가능한 TETRATM I, TETRATMII, 또는 DPS
Figure 112007060310180-PAT00001
II 에칭 챔버, 또는 도 3을 참조로 하기 개시되는 바와같은, 다른 적절한 에칭 챔버에서 수행될 수 있다. 방법(200)은 제어기의 메모리 또는 챔버의 다른 저장 매체에 컴퓨터 판독가능 형태로 저장될 수 있다.
방법(200)은 단계(202)에서 시작되며, 포토레지스트층(114)은 EUV 마스크(100) 상부에 증착되며 EUV 마스크(100)로 전사되는 설계에 해당하는 개구부(116)를 형성하도록 패터닝된다(도 1A 참조). EUV 마스크(100)는 블랭크 EUV 마스크(101)로 시작되며, 순서대로, 기판(102), EUV 반사 다중-물질층(104), 캡핑층(106), 및 다층 흡수층(108)을 포함한다. 선택적으로, 실리콘 이산화물(SiO2)(미도시)을 포함하는 버퍼층은 다층 흡수층(108)과 캡핑층(106) 사이에 배치될 수 있다. EUV 마스크(100)는 종래의 마스크와 동일한 기판 물질 및 치수를 이용한다. 이처럼, 기판(102)은 통상적으로 석영(즉, 실리콘 이산화물, SiO2) 등과 같이, 실리콘계 물질을 포함한다. 기판(102)은 포토마스크로서 사용하기에 적합한 임의의 크기일 수 있다. 일 실시예에서, 기판(102)은 약 5-9인치 사이의 길이의 측면을 갖는 직사각형 형상이다. 기판(102)은 약 0.15-0.25 인치 사이의 두께를 갖는다. 일 실시예에서, 기판(102)은 약 0.25 인치의 두께이다.
다중-물질층(104)은 몰리브덴 및 실리콘(Mo/Si) 함유층일 수 있다. 예를 들어, 일 실시예에서, 다중-물질층(104)은 예를 들어, 40쌍의 Mo 및 Si 층들의, 교번하는 Mo 및 Si 층들을 포함한다. 다중-물질층(104)은 13.5nm 파장에서 70%에 이르는 EUV 광 반사율을 가질 수 있다. 다중-물질층(104)은 일반적으로 70-140nm 사이의 두께이다.
캡핑층(106)은 다중-물질층(104)과 다층 흡수층(108) 사이에 조합된 버퍼층과 캡핑층으로서 작용한다. 일반적으로 캡핑층(106)은 지르코늄(Zr) 및 실리 콘(Si)을 포함하며 약 8-20nm 사이의 두께로 형성된다. 일 실시예에서, 캡핑층(106)의 두께는 약 10nm 이다.
다층 흡수층(108)은 불투명한 광차단층이며 약 40-110nm 사이의 두께를 가질 수 있다. 다층 흡수층(108)과 캡핑층(106)의 스택 두께는 통상적으로 약 70-130nm 사이이며, 일 실시예에서는 약 100nm이다. 이러한 층들이 조합된 낮은 두께는 45nm 이하 기술 노드 분야(예를 들어, 32nm 기술 노드 분야 및 그 이상)에서 EUV 마스크에 대한 엄격한 전체 에칭 프로파일 톨러런스를 용이하게 충족시킨다.
다층 흡수층(108)은 벌크 흡수층(110)(또한 벌크 서브층으로도 불림) 및 셀프-마스크층(112)(또한 비반사 서브층으로도 불림)을 포함한다. 벌크 흡수층(110)은 다층 흡수층(108) 두께의 약 80-85퍼센트 사이(즉, 약 30-90nm 두께 사이)일 수 있다. 벌크 흡수층(110)은 탄탈 실리사이드-계 물질(이후, TaSi), 질소화 탄탈 붕화물-계 물질(이후, TaBN), 및 탄탈 질화물-계 물질(이후, TaN)과 같이, 본질적으로 산소가 없는 탄탈-계 물질을 포함할 수 있다.
셀프-마스크층(112)은 다층 흡수층(108) 두께의 약 15-20 퍼센트 사이(즉, 약 10-30nm 두께 사이)일 수 있다. 일반적으로 셀프-마스크층(112)의 조성은 탄탈- 및 산소-계 물질을 포함한다. 셀프-마스크층(112)의 조성은 벌크 흡수층(110)의 조성에 해당하며, 벌크 흡수층(110)이 TaSi를 포함할 때, 산화 및 질소화 탄탈 및 실리콘-계 물질(이후, TaSiON)을 포함하며, 벌크 흡수층(110)이 TaBN을 포함할 때, 탄탈 보론 산화물-계 물질(이후, TaBO)를 포함하며, 벌크 흡수층(110)이 TaN을 포함할 때 산화 및 질소화 탄탈-계 물질(이후, TaON)을 포함한다.
벌크 흡수층(110)과 셀프-마스크층(112)의 조성간의 관계는 바람직하게 EUV 마스크(100)를 에칭하는 동안 감소된 결함 형성을 제공한다. 예를 들면, 제 1 에칭 프로세스는 셀프-마스크층(112)(하기에 보다 상세히 설명됨)을 에칭하는데 이용될 수 있으며, 제 2 에칭 프로세스는 셀프-마스크층(112)에 대한 벌크 흡수층(110)의 높은 에칭 선택도를 유지하면서 벌크 흡수층(110)의 에칭하는데 이용될 수 있어, 하드 마스크, 즉, "셀프-마스크"로서 기능하는 셀프-마스크층(112)이 형성되어, 보다 얇은 포토레지스트층(114)의 사용이 용이 해질 수 있다. 이러한 물질 조합 및 다단계 에칭 프로세스는 종래의 "소프트" 포토레지스트 물질을 이용하는 에칭 프로세스 보다 낮은 에칭 CD 및 보다 나은 CD 균일성을 제공한다.
포토레지스트층(114)은 e-빔 레지스트(예를 들어, 화학적으로 증폭된 레지스트(CAR))와 같은 임의의 적절한 광감성 레지스트 물질을 포함하며, 임의의 적합한 방식으로 증착 및 패터닝될 수 있다. 포토레지스트층(114)은 약 100-1000nm 사이의 두께로 증착될 수 있다. 앞서 개시된 바와 같이, 포토레지스트층(114)은 셀프-마스크층(112) 상에 증착되며, 개구부(116)를 형성하도록 패터닝되어, 셀프-마스크층(112)의 해당 부분들이 노출된다.
다음, 단계(204)에서, 셀프-마스크층(112)은 마스크로서 포토레지스트층(114)을 사용하여 제 1 에칭 프로세스에서 에칭되어, 개구부(116)의 패턴이 셀프-마스크층(112)으로 전사되며(도 1B 참조), 벌크 흡수층(110)의 해당하는 부분들이 노출된다. 일 실시예에서, 셀프-마스크층(112)이 TaSiON을 포함하는 경우, 제 1 에칭 프로세스 동안 레지스트에 대한 셀프-마스크층(112)의 에칭 선택도는 약 0.5 이며 벌크 흡수층(110)에 대한 셀프-마스크층(112)의 에칭 선택도는 8 보다 크다.
제 1 에칭 프로세스는 불소-함유 가스, 사염화탄소(CCl4), 또는 염화수소(HCl)중 적어도 하나를 포함하는 제 1 프로세스 가스(또는 가스 혼합물)로부터 종들에 층을 (개구부(116)를 통해) 노출시킴으로써 셀프-마스크층(112)를 에칭한다. 적절한 불소-함유 가스들의 예로는 사불화탄소(CF4), 육불화탄소(C2F6), 육불화황(SF6), 트리플루오로메탄(CHF3) 등이 포함된다. 일 실시예에서, CF4가 약 10-100sccm 사이의 유량으로 제공된다. 선택적으로, 헬륨(He) 또는 아르곤(Ar)과 같은 캐리어 가스가 약 50-200sccm 사이의 유량으로 제공된다. 하나의 특정한 프로세스 레시피는 약 100sccm 유량의 캐리어 가스와 함께 약 50sccm 유량의 CF4를 제공한다. 프로세스 챔버의 압력은 약 40mTorr 미만으로 제어되며, 일 실시예에서는 약 1 내지 약 10mTorr 사이, 예를 들어, 2mTorr로 제어된다.
예를 들어, 하기에 개시되는 바와 같이, 플라즈마 전력원으로부터 약 300 내지 약 600W 사이의 RF 전력을 프로세스 챔버의 안테나에 인가함으로써, 제 1 프로세스 가스로부터 플라즈마가 형성된다. 플라즈마가 다른 방법에 의해 점화될 수 있다는 것도 고려된다. 일 실시예에서, 약 420W의 RF 전력이 약 13.56MHz의 주파수에 인가된다.
선택적으로, 마스크(100)를 바이어스하기 위해 적절한 바이어스 전력이 인가된다. 바이어스 전력은 약 600W 미만, 또는 제 1 예에서 약 100W 미만, 또는 제 2 예에서, 20 내지 약 150W 사이일 수 있다. 하나의 특정 프로세스 레시피는 약 25W 의 바이이스 전력이 인가된다. 바이어스 전력은 약 1-20MHz 사이의 주파수, 또는 일 실시예에서, 약 13.56MHz 사이의 주파수로 제공된다.
선택적으로 바이어스 전력은 펄스형일 수 있다. 바이어스 전력은 약 10-95 퍼센트 사이의 듀티 주기로 펄싱되거나, 또는 일 실시예에서는 약 20-95퍼센트 사이의 듀티 주기로 펄싱될 수 있다. 일 실시예에서, 바이어싱 전력원(340)은 약 20 내지 약 95 퍼센트 사이의 듀티 주기로, 약 2 내지 약 5kHz 사이의 펄스 주파수에서 약 20 내지 약 150 와트 사이의 RF 전력을 제공하도록 구성된다.
프로세싱 동안, 캐소드 온도는 섭씨 약 15-30도 사이의 온도로 유지되며 챔버 벽의 온도는 섭씨 약 50-80도 사이의 온도로 유지될 수 있다. 일 실시예에서, 캐소드 온도는 섭씨 약 20도의 온도로 유지되며 챔버 벽의 온도는 섭씨 약 65도의 온도로 유지될 수 있다.
다음, 단계(206)에서, 벌크 흡수층(110)이 셀프-마스크층(112)을 이용하고 마스크로서 포토레지스트층(114)을 남겨두고 제 2 에칭 프로세스에서 에칭되어, 개구부(116)의 패턴이 벌크 흡수층(110)으로 전사되어(도 1C 참조), 캡핑층(106)(또는 존재하는 경우, 버퍼층)의 해당 부분들이 노출된다. 선택적으로, 나머지 포토레지스트층(114)은 단계(206)를 수행하기 이전에, 제거 또는 벗져질 수 있다. 제 2 에칭 프로세스는 바람직하게 셀프-마스크층(112)에 대한 벌크 흡수층(110)의 높은 선택도를 가져, 셀프-마스크층(112)이 벌크 흡수층(110)으로 패턴을 전사하기 위한 하드 마스크로서 작용하게 한다. 제 2 에칭 프로세스는 적어도 10의 셀프-마스크층에 대한 벌크 흡수층의 선택도를 유지한다. 벌크 흡수층(110)이 TaSi를 포 함하고 제 2 에칭 프로세스 가스가 Cl2를 포함하는 일 실시예에서, 레지스트에 대한 벌크 흡수층(110)의 에칭 선택도는 약 3.8이며 셀프-마스크층(112)에 대한 벌크 흡수층(110)의 에칭 선택도는 약 15이다.
제 2 에칭 프로세스는 적어도 하나의 염소 함유 가스를 포함하는 제 2 프로세스 가스(또는 가스 혼합물)로부터의 종들에 층을(개구부(116)를 통해) 노출시킴으로써 벌크 흡수층(110)을 에칭한다. 적절한 염소 함유 가스의 예로는 염소(Cl2), 사염화탄소(CCl4), 염화수소(HCl) 등이 포함된다.
일 실시예에서, 제 2 프로세스 가스는 약 10-2000sccm 사이의 유량으로 제공된다. 선택적으로, 헬륨(He) 또는 아르곤(Ar)과 같은 캐리어 가스는 약 50-200sccm 사이의 유량으로 제공될 수 있다. 하나의 특정한 프로세스 레시피는 약 100sccm 유량으로 캐리어 가스와 함께 약 100sccm 유량으로 제 2 프로세스 가스를 제공한다. 프로세스 챔버의 압력은 약 40mTorr 미만으로 제어되며, 일 실시예에서는 약 1 내지 약 10mTorr 사이, 예를 들어 6mTorr로 제어된다.
하기 개시되는 것처럼, 플라즈마 전력원으로부터 약 300 내지 약 600W 사이의 RF 전력을 프로세스 챔버의 안테나에 인가함으로써 제 2 프로세스 가스로부터 플라즈마가 형성된다. 플라즈마가 다른 방법들에 의해 점화될 수 있다는 것도 고려된다. 일 실시예에서는 약 13.56MHz의 주파수에서 약 420W의 RF 전력이 인가된다.
선택적으로, 마스크(100)를 바이어스 하기 위해 기판 바이어스 전력이 인가 된다. 바이어스 전력은 약 600W 미만, 제 1 예에서는 약 100W 미만, 또는 제 2 예에서는 20 내지 약 150W 미만이다. 하나의 특정한 프로세스 레시피는 약 20W의 바이어스 전력을 인가한다. 바이어스 전력은 약 1-20MHz 사이의 주파수에서, 또는 일 실시예에서, 약 13.56MHz의 주파수에서 제공되는 RF 신호일 수 있다.
선택적으로 바이어스 전력은 펄스형일 수 있다. 바이어스 전력은 약 10-95 퍼센트 사이의 듀티 주기로 펄싱되거나, 또는 일 실시예에서는 약 20-95퍼센트 사이의 듀티 주기로 펄싱될 수 있다. 일 실시예에서, 바이어싱 전력원(340)은 약 10 내지 약 95 퍼센트 사이의 듀티 주기로, 약 1 내지 약 10kHz 사이의 펄스 주파수에서 약 600 와트 미만의 RF 전력을 제공하도록 구성된다.
프로세싱 동안, 캐소드 온도는 섭씨 약 15-30도 사이의 온도로 유지되며 챔버 벽의 온도는 섭씨 약 50-80도 사이의 온도로 유지될 수 있다. 일 실시예에서, 캐소드 온도는 섭씨 약 20도의 온도로 유지되며 챔버 벽의 온도는 섭씨 약 65도의 온도로 유지될 수 있다.
단계(206)의 완료에 따라, 일반적으로 방법(200)은 종결되며 EUV 마스크(100)는 EUV 마스크(100)의 다층 흡수층(108)으로 전사되는 원하는 패턴을 갖는다. 그러나 EUV 마스크(100)를 완성하기 위해서는 추가의 프로세싱이 고려된다. 예를 들어, 버퍼층이 존재하는 실시예에서, 버퍼층은 EUV 마스크(100) 구조물을 완성하기 위해 공지된 바와 같이, SF6, CF4 등과 같은 불소-함유 가스를 이용하여 캡핑층(106)으로 에칭될 수 있다.
방법(200)은 종래의 에칭 방법들에 비해, 개선된 CD 및 균일성을 갖는 EUV 마스크(100)를 바람직하게 제공한다. 예를 들어, 벌크 흡수층(110)이 단계(206) 동안 제 2 에칭 프로세스를 사용하여 에칭되는 경우, 나머지 포토레지스트(114)가 개구부(116)의 모서리에서 제거되어, 염소 가스 플라즈마에 셀프-마스크층(112)의 부분들이 노출될 수 있다. 그러나 염소 플라즈마에서 셀프-마스크층(112)에 대한 벌크 흡수층(110)의 높은 선택도로 인해, 포토레지스트층(114)의 CD가 변하더라도, CD는 크게 축소되지 않는다. 따라서, 최종 CD는 셀프-마스크층(112)의 비교적 작은 두께로 인해, 바람직하게 CD 에칭 바이어스에 크게 기여하지 않는 단계(240) 동안 셀프-마스크층(112) 에칭에 의해 주로 결정된다. 또한, 국부적 에칭 CD 바이어스가 에칭 CD 균일성에 기여함에 따라, 낮은 CD 바이어스는 CD 균일성 제어에 보다 바람직하다. 방법(200)은 예를 들어, 약 0-10nm(즉, 10nm 미만)으로 감소된 CD 바이어스를 갖는 마스크를 제공하는데 바람직하게 이용될 수 있다.
본 명세서에 개시된 신규한 에칭 방법의 실시예들은 에칭 CD 바이어스 및 균일성에 대해 보다 나은 제어를 제공한다. 마스크 구조물 및 방법은 종래의 물질 및 에칭 프로세스를 사용하여 개선된 에칭 CD 균일성 제어를 갖는, 즉, 기술 문제가 없는 "제로 에칭 바이어스"를 제어한다.
도 3은 본 발명의 방법이 실행될 수 있는 에칭 반응기(300)의 일 실시예의 개략도를 나타낸다. 본 발명에 개시된 구성을 사용하도록 구성될 수 있는 적절한 반응기로는 예를 들어, DPS
Figure 112007060310180-PAT00002
(Decoupled Plasma Source)Ⅱ 반응기, 또는 TETRATMⅠ 및 TETRATMⅡ 포토마스크 에칭 시스템들이 포함되며, 이들 모두는 캘리포니아 산타클라라의 어플라이드 머티리얼스사로부터 입수될 수 있다. DPS
Figure 112007060310180-PAT00003
Ⅱ 반응기는 어플라이드 머티리얼스사로부터 입수가능한 CENTURA
Figure 112007060310180-PAT00004
통합 반도체 웨이퍼 프로세싱 시스템으로 사용될 수도 있다. 본 명세서에 개시된 반응기(300)의 특정 실시예는 본 발명의 범주를 제한하고자 하는 것이 아니라 도시를 위해 제공되는 것이다.
일반적으로 반응기(300)는 도전성 바디(챔버 벽(304)) 내에 기판 지지 페데스탈(324)을 가지는 프로세스 챔버(302), 및 제어기(346)를 포함한다. 프로세스 챔버(302)는 실질적으로 평탄한 유전체 실링(308)을 포함한다. 프로세스 챔버(302)의 다른 변형물은 다른 형태의 실링, 예를 들어, 돔형상 실링을 포함할 수 있다. 안테나(310)는 실링(308) 상부에 배치된다. 안테나(310)는 선택적으로 제어될 수 있는 하나 이상의 유도성 코일 부재(도 4에는 2개의 동축 부재(310a, 410b)가 도시됨)를 포함한다. 안테나(310)는 제 1 매칭 네트워크(314)를 통해 플라즈마 전력원 (312)에 결합된다. 통상적으로 플라즈마 전력원(312)은 약 50kHz 내지 약 13.56MHz 범위에서의 동조가능한 주파수에서 약 3000와트(W)를 생성할 수 있다. 일 실시예에서, 플라즈마 전력원(312)은 약 300 내지 약 6000W의 유도적으로 결합된 RF 전력을 제공한다.
기판 페데스탈(캐소드)(324)는 제 2 매칭 네트워크(342)를 통해 바이어싱 전력원(340)에 결합된다. 바이어싱 전력원(340)은 약 1 내지 약 10kHz 범위의 동조가능한 펄스 주파수에서 약 0 내지 약 600와트 사이를 제공한다. 바이어싱 전력 원(340)은 펄스형 RF 전력 출력을 생성한다. 선택적으로, 바이어싱 전력원(340)는 펄스형 DC 전력 출력을 생성할 수 있다. 바이어싱 전력원(340)은 일정한 전력 출력을 제공할 수도 있다.
일 실시예에서, 바이어싱 전력원(340)은 약 1 내지 약 10 kHz 사이의 펄스 주파수에서 약 10 내지 약 95 퍼센트 사이의 듀티 주기로 약 600 와트 미만의 RF 전력을 제공하도록 구성된다. 또 다른 실시예에서, 바이어싱 전력원(340)은 약 2 내지 약 5 kHz 사이의 펄스 주파수에서 약 80 내지 약 95 퍼센트 사이의 듀티 주기로 약 20 내지 약 150 와트 사이의 RF 전력을 제공하도록 구성된다
일 실시예에서, DPS
Figure 112007060310180-PAT00005
Ⅱ 반응기에서 처럼, 기판 지지 페데스탈(324)은 정전기 척(360)을 포함할 수 있다. 정전기 척(360)은 적어도 하나의 클램핑 전극(332)을 포함하며 척 전력원(366)에 의해 제어된다. 선택적 실시예에서, 기판 지지 페데스탈(324)은 서셉터 클램프 링, 기계적 척, 등과 같은 기판 보유 메커니즘을 포함할 수 있다.
가스 패널(320)은 프로세스 챔버(302)의 내부에 프로세스 및/또는 다른 가스를 제공하기 위해 프로세스 챔버(302)와 결합된다. 도 4에 도시된 실시예에서, 가스 패널(320)은 챔버 벽(304)에서 채널(318)에 형성된 하나 이상의 주입구(316)와 결합된다. 하나 이상의 주입구(316)는 다른 위치, 예를 들어, 프로세스 챔버(302)의 실링(308)에 제공될 수도 있다.
일 실시예에서, 가스 패널(320)은 프로세싱 동안 주입구(316)를 통해 프로세스 챔버(302) 내부로 하나 이상의 프로세스 가스를 선택적으로 제공하도록 구성된 다. 예를 들어, 일 실시예에서, 가스 패널(320)은, 마스크를 에칭하는 방법과 관련하여 하기 설명되는 바와 같이, 프로세스 챔버(302)의 내부로 불소-함유 및/또는 염소-함유 프로세스 가스(또는 가스들)를 선택적으로 제공하도록 구성될 수 있다. 프로세싱 동안, 플라즈마는 상기 가스로부터 형성되며 플라즈마 전력원(312)으로부터의 전력의 유도 결합을 통해 유지된다. 플라즈마는 선택적으로 다른 방법에 의해 원격적으로 형성되거나 점화될 수 있다.
프로세스 챔버(302)내 압력은 트로틀 밸브(362) 및 진공 펌프(364)를 사용하여 제어된다. 진공 펌프(364) 및 트로틀 밸브(362)는 약 1 내지 약 20mTorr의 범위의 챔버 압력을 유지할 수 있다.
챔버 벽(304)의 온도는 챔버 벽(304)을 통해 연장되는 액체-함유 도관(미도시)을 사용하여 제어될 수 있다. 일반적으로 벽 온도는 섭씨 약 65도로 유지된다. 통상적으로, 챔버 벽(304)은 금속(예를 들어, 알루미늄, 스테인레스 스틸 등)으로 형성되며 전기적 접지(306)에 연결된다. 또한 프로세스 챔버(302)는 프로세스 제어, 내부 진단(internal diagnostic), 엔드포인트 검출 등을 위한 종래의 시스템들을 포함한다. 이러한 시스템들은 총체적으로 지지 시스템(354)으로 도시된다.
레티클 어댑터(382)는 기판 지지 페데스탈(324) 상에 기판(레티클 또는 다른 제품)(322)을 고정하는데 이용된다. 기판(322)은 광학적 투명 기판(390)과 그위에 배치되는 다층 흡수층(392)을 포함하는(도 1에 도시된 다층 흡수층(104)을 갖는 광학적 투명 기판(102)을 포함하는 마스크(100)와 유사) 블랭크 포토마스크일 수 있다. 일반적으로 레티클 어댑터(382)는 기판 지지 페데스탈(324)의 상부 표면(예를 들어, 정전기 척(360))을 커버하도록 밀링된 하부 부분(384) 및 기판(322)을 보유하도록 크기설정되고 형상화된 개구부(388)를 가지는 상부 부분(386)을 포함한다. 일반적으로 개구부(388)는 기판 지지 페데스탈(324)을 기준으로 실질적으로 중심설정된다. 일반적으로 어댑터(382)는 에칭 저항, 폴리마이드 세라믹 또는 석영과 같은 고온 저항 물질의 단일 부품으로 형성된다. 적절한 레티클 어뎁터는 본 명세서에서 참조되는, 2001년 6월 26일자 발행된 미국 특허 번호 6,251,217호에 개시된다. 에지 링(326)은 기판 지지 페데스탈(324)에 어뎁터(382) 커버 및/또는 고정할 수 있다.
승강 메케니즘(338)은 어뎁터(382)를 상승 및 하강시키는데 이용되어 기판(322)은 기판 지지 페데스탈(324) 상에 또는 기판 지지 페데스탈(324)으로부터 사응 및 하강된다. 일반적으로, 승강 메커니즘(338)은 각각의 가이드 홀(336)을 통해 이동하는 다수의 리프트 핀(하나의 리프트 핀(330)이 도시됨)을 포함한다.
동작시, 기판(322)의 온도는 기판 지지 페데스탈(324)의 온도를 안정화시킴으로써 제어된다. 일 실시예에서, 기판 지지 페데스탈(324)은 히터(344) 및 선택적 히트 싱크(328)를 포함한다. 히터(344)는 열 전달 유체가 흐르도록 구성된 하나 이상의 유체 도관일 수 있다. 또 다른 실시예에서, 히터(344)는 히터 전력 공급부(368)에 의해 조절되는 적어도 하나의 가열 부재(334)를 포함할 수 있다. 선택적으로, 가스 소스(356)로부터 후방 가스(예를 들어, 헬륨(He))는 가스 도관(358)을 통해 기판(322) 아래의 페데스탈 표면에 형성된 채널에 제공된다. 후방 가스는 기판 지지 페데스탈(324)과 기판(322) 사이의 열 전달을 용이하게 하기 위 해 이용된다. 프로세싱 동안, 기판 지지 페데스탈(324)은 헬륨 후방 가스와 조합되어 기판(322)의 균일한 가열을 용이하게 하는 정상-상태 온도로 내장된 히터(344)에 의해 가열될 수 있다.
선택적으로, 이온-라디칼 차폐물(327)은 기판 지지 페데스탈(324) 상의 도전성 바디의 챔버 벽(304)에 위치된다. 이온-라디칼 차폐물(327)은 챔버 벽(304) 및 기판 지지 페데스탈(324)과 전기적으로 절연되며 다수의 구멍(329)을 갖는 실질적으로 평탄한 플레이트(331)를 포함한다. 도 4에 도시된 실시예에서, 차폐물(327)은 다수의 레그(325)에 의해 페데스탈 위로 프로세스 챔버(302)에서 지지된다. 구멍들(329)은 프로세스 챔버(302)의 상부 프로세스 볼륨(378)에 형성된 플라즈마로부터 이온-라디칼 차폐물(327)과 기판(322) 사이에 위치된 하부 프로세스 볼륨(380)을 통과하는 다수의 이온들을 제어하는 차폐물(327)의 표면에 원하는 개구 영역을 형성한다. 개구 영역 보다 클수록 보다 많은 이온들이 이온-라디칼 차폐물(327)을 통과할 수 있다. 이로써, 플레이트(331)의 두께와 함께 구멍(329)의 분포 및 크기가 볼륨(380) 내의 이온 밀도를 조절한다. 결과적으로, 차폐물(327)은 이온 필터이다. 본 발명의 바람직하게 이용될 수 있는 적절한 차폐물의 일례로는 본 명세서에서 참조되며, "METHOD AND APPARATUS FOR PHOTOMASK PLASMA ETCHING"이란 명칭으로 쿠마르 등에 의해 2004년 6월 30일자로 출원된 미국 특허 출원 번호 10/882,084호에 개시된다.
제어기(346)는 중앙 처리 장치(CPU)(350), 메모리(348), 및 CUP(350)에 대한 지지 회로(352)를 포함하며, 앞서 개시된 바와 같이, 에칭 프로세스의 프로세스 챔 버(302)의 부품들의 제어를 용이하게 한다. 제어기(346)는 다양한 챔버들 및 서브-프로세서들을 제어하기 위한 산업적 설비에 이용될 수 있는 임의의 형태의 범용성 컴퓨터 프로세서중 하나일 수 있다. 제어기(346)의 메모리(348)는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크, 또는 다른 형태의 디지털 저장, 로컬 또는 리모트와 같이, 쉽게 이용가능한 하나 이상의 메모리일 수 있다. 지지 회로(352)는 종래의 방식으로 프로세서를 지지하는 CPU(350)에 결합된다. 이러한 회로로는 캐쉬, 전력 공급부들, 클럭 회로들, 입/출력 회로 및 서브시스템들 등이 포함된다. 본 발명의 방법은 일반적으로 메모리(348) 또는 소프트웨어 루틴으로서 CPU(350)로 액세스가능한 다른 컴퓨터-판독가능 매체에 저장될 수 있다. 선택적으로, 이러한 소프트웨어 루틴은 CPU(350)에 의해 제어되는 하드웨어로부터 원격적으로 위치된 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
따라서, 본 명세서에 개시되는 EUV 포토마스크를 에칭하는 방법은 10nm 이하의 CD 바이어스를 가지며 종래의 마스크에 비해 균일성이 개선된다. 특히, 본 명세서에 개시되는 EUV 마스크 및 에칭 방법은 종래의 포토마스크 및 제조 방법에 비해 낮은 CD 바이어스 및 우수한 균일성을 제공한다.
지금까지 본 발명의 실시예들을 개시하였으나, 본 발명의 다른 추가적인 실시예가 첨부되는 특허청구범위에 한정된 본 발명의 기본 사상 및 범주내에서 고안될 수 있다.
도 1A-C는 본 발명의 방법의 일 실시예를 이용하는 EUV 마스크에 대한 제조 시퀀스의 일 실시예를 나타낸다.
도 2는 도 1의 EUV 마스크를 에칭하기 위한 방법의 일 실시예의 흐름도를 나타낸다.
도 3은 EUV 마스크 에칭에 적합한 에칭 반응기의 개략도를 나타낸다.

Claims (20)

  1. 극자외선 포토마스크를 에칭하는 방법으로서,
    순서대로, 기판, 다중-물질층, 캡핑층, 및 다층 흡수층을 포함하는 포토마스크를 제공하는 단계 - 상기 다층 흡수층은 벌크 흡수층 위에 배치된 셀프-마스크층을 포함하며, 상기 셀프-마스크층은 탄탈 및 산소를 포함하며 상기 벌크 흡수층은 탄탈을 포함하며 본질적으로는 산소를 포함하지 않음 - ;
    제 1 에칭 프로세스를 이용하여 상기 셀프-마스크층을 에칭하는 단계; 및
    상기 제 1 에칭 프로세스와 상이한 제 2 에칭 프로세스를 이용하여 상기 벌크 흡수층을 에칭하는 단계
    를 포함하는, 극자외선 포토마스크 에칭 방법.
  2. 제 1 항에 있어서,
    상기 포토마스크는 불투명층 위에 배치된 포토레지스트를 더 포함하는 블랭크 극자외선 포토마스크이며, 상기 셀프-마스크층은 비반사 서브층이며 상기 벌크 흡수층은 벌크 서브층이며, 상기 방법은,
    상기 포토레지스트층에 패터닝된 이미지를 형성하는 단계;
    패터닝된 이미지에 해당하지 않는 상기 불투명층의 상기 비반사 서브층의 부분들이 노출되도록, 상기 패터닝된 이미지에 해당하지 않는 포토레지스트층의 부분들을 제거하는 단계;
    상기 패터닝된 이미지에 해당하지 않는 상기 벌크 서브층의 부분들이 노출되도록, 제 1 에칭 프로세스를 이용하여 상기 패터닝된 이미지에 해당하지 않는 상기 비반사 서브층의 노출된 부분들을 제거하는 단계;
    상기 패터닝된 이미지에 해당하지 않는 상기 캡핑층의 부분들이 노출되도록, 비반사 서브층 제거율 보다 적어도 10배 큰 벌크 서브층 제거율을 가지는 제 2 에칭 프로세스를 이용하여 상기 패터닝된 이미지에 해당하지 않는 비반사 서브층 아래에 놓인 상기 벌크 서브층의 노출된 부분들을 제거하는 단계; 및
    상기 포토레지스트층을 제거하는 단계
    를 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 셀프-마스크층은 TaSiON, TaBO, 또는 TaON중 하나를 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 벌크 흡수층은 TaSi, TaBN, 또는 TaN중 하나를 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 셀프-마스크층은 TaSiON을 포함하고 상기 벌크 흡수층은 TaSi를 포함하 는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 셀프-마스크층은 TaBO를 포함하며 상기 벌크 흡수층은 TaBN을 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  7. 제 1 항 또는 제 2 항에 있어서,
    상기 셀프-마스크층은 TaON을 포함하고 상기 벌크 흡수층은 TaN을 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 에칭 프로세스는,
    염소 함유 가스, 사염화탄소(CCl4), 또는 염화수소(HCl)중 적어도 하나를 포함하는 프로세스 가스로 상기 셀프-마스크층을 에칭하는 단계를 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 에칭 프로세스는,
    트리플루오로메탄(CHF3), 사불화탄소(CF4), 육불화황(SF6), 육불화탄소(C2F6), 사염화탄소(CCl4), 또는 염화수소(HCl)중 적어도 하나를 포함하는 프로세스 가스로 상기 셀프-마스크층을 에칭하는 단계를 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  10. 제 1 항 또는 제 2 항에 있어서,
    상기 제 2 에칭 프로세스는 적어도 하나의 염소 함유 프로세스 가스로 상기 벌크 흡수층을 에칭하는 단계를 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  11. 제 1 항 또는 제 2 항에 있어서,
    상기 제 2 에칭 프로세스는
    염소(Cl2), 사염화탄소(CCl4), 또는 염화수소(HCl)중 적어도 하나를 포함하는 프로세스 가스로 상기 벌크 흡수층을 에칭하는 단계를 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  12. 제 1 항 또는 제 2 항에 있어서,
    상기 기판은 석영을 포함하며, 상기 다중-물질층은 몰리브덴 및 실리콘을 포함하며, 상기 캡핑층은 지르코늄 및 실리콘을 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  13. 제 1 항 또는 제 2 항에 있어서,
    상기 캡핑층 및 상기 다층 흡수층의 두께는 약 70 내지 130nm 사이인 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  14. 제 1 항 또는 제 2 항에 있어서,
    상기 캡핑층과 상기 다층 흡수층의 두께는 약 100nm인 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  15. 제 1 항 또는 제 2 항에 있어서,
    상기 벌크 흡수층은 상기 다층 흡수층의 두께의 약 80-85 퍼센트 사이인 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  16. 제 1 항 또는 제 2 항에 있어서,
    상기 셀프-마스크층은 상기 다층 흡수층의 두께의 약 15-20퍼센트 사이인 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  17. 제 1 항 또는 제 2 항에 있어서,
    상기 다층 흡수층의 두께는 40-100nm 사이인 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  18. 제 1 항에 있어서,
    상기 셀프-마스크층을 에칭하기 이전에 상기 셀프-마스크층 상부에 포토레지스트층을 증착하고 패터닝하는 단계를 더 포함하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  19. 제 2 항 또는 제 18 항에 있어서,
    상기 포토레지스트층은 약 100-1000nm 사이의 두께를 갖는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
  20. 제 1 항에 있어서,
    상기 제 2 에칭 프로세스는 상기 셀프-마스크층에 대해 상기 벌크 흡수층의 선택도를 적어도 10으로 유지하는 것을 특징으로 하는 극자외선 포토마스크 에칭 방법.
KR1020070083885A 2006-09-15 2007-08-21 극자외선(euv) 포토마스크를 에칭하는 방법 KR20080025294A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/532,280 2006-09-15
US11/532,280 US7771895B2 (en) 2006-09-15 2006-09-15 Method of etching extreme ultraviolet light (EUV) photomasks

Publications (1)

Publication Number Publication Date
KR20080025294A true KR20080025294A (ko) 2008-03-20

Family

ID=38720397

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070083885A KR20080025294A (ko) 2006-09-15 2007-08-21 극자외선(euv) 포토마스크를 에칭하는 방법

Country Status (6)

Country Link
US (1) US7771895B2 (ko)
EP (1) EP1901120B1 (ko)
JP (2) JP2008072127A (ko)
KR (1) KR20080025294A (ko)
CN (1) CN101144973B (ko)
TW (1) TWI379354B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200037095A (ko) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 포토마스크를 제작 및 제공하는 방법
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7442650B2 (en) * 2007-01-10 2008-10-28 International Business Machines Corporation Methods of manufacturing semiconductor structures using RIE process
JP4465405B2 (ja) * 2008-02-27 2010-05-19 Hoya株式会社 フォトマスクブランクおよびフォトマスク並びにこれらの製造方法
KR101020281B1 (ko) * 2008-06-20 2011-03-07 주식회사 하이닉스반도체 극자외선 리소그라피 마스크의 제조 방법
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
KR20140004101A (ko) * 2011-02-01 2014-01-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크
WO2013055586A1 (en) * 2011-10-13 2013-04-18 Applied Materials, Inc. Method for etching euv reflective multi-material layers utilized to form a photomask
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
CN103091747B (zh) * 2011-10-28 2015-11-25 清华大学 一种光栅的制备方法
CN103086607B (zh) 2011-10-28 2015-08-26 清华大学 光栅的制备方法
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8691476B2 (en) * 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8900469B2 (en) * 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8628897B1 (en) * 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8679707B2 (en) * 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
CN103901715A (zh) * 2012-12-24 2014-07-02 中芯国际集成电路制造(上海)有限公司 一种掩膜板及其制造方法
US9425062B2 (en) * 2013-03-14 2016-08-23 Applied Materials, Inc. Method for improving CD micro-loading in photomask plasma etching
CN103420329B (zh) * 2013-08-29 2016-03-23 上海华虹宏力半导体制造有限公司 用于MEMS工艺的TaN刻蚀聚合物残留去除方法
US9230809B2 (en) * 2013-10-17 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
KR101567057B1 (ko) * 2013-11-15 2015-11-09 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
CN103605260A (zh) * 2013-12-02 2014-02-26 中国科学院微电子研究所 一种纳米尺度euv掩模的制备方法
US9581889B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9739913B2 (en) 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US9690016B2 (en) 2014-07-11 2017-06-27 Applied Materials, Inc. Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
US10802393B2 (en) * 2017-10-16 2020-10-13 Globalfoundries Inc. Extreme ultraviolet (EUV) lithography mask
KR102402767B1 (ko) 2017-12-21 2022-05-26 삼성전자주식회사 극자외선 마스크 블랭크, 극자외선 마스크 블랭크를 이용하여 제조된 포토마스크, 포토마스크를 이용한 리소그래피 장치 및 포토마스크를 이용한 반도체 장치 제조 방법
US11467508B2 (en) * 2018-07-25 2022-10-11 Applied Materials, Inc. Pellicle adhesive residue removal system and methods
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
CN113785381A (zh) * 2019-04-30 2021-12-10 朗姆研究公司 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11940725B2 (en) * 2021-01-27 2024-03-26 S&S Tech Co., Ltd. Phase shift blankmask and photomask for EUV lithography
US20240134265A1 (en) * 2021-03-02 2024-04-25 Hoya Corporation Substrate with multilayer reflective film, reflective mask blank, reflective mask, and method for manufacturing semiconductor device
US11915932B2 (en) * 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
US6472107B1 (en) * 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
KR100401503B1 (ko) * 2001-04-30 2003-10-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 및 그 제조방법
DE10156366B4 (de) * 2001-11-16 2007-01-11 Infineon Technologies Ag Reflexionsmaske und Verfahren zur Herstellung der Reflexionsmaske
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
JP4212025B2 (ja) * 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
JP4501347B2 (ja) * 2003-02-27 2010-07-14 凸版印刷株式会社 極限紫外線露光用マスク及びブランク並びにパターン転写方法
JP2004342734A (ja) * 2003-05-14 2004-12-02 Hoya Corp 反射型マスクブランクス及び反射型マスク
US20050042523A1 (en) * 2003-08-20 2005-02-24 Banqiu Wu Endpoint detection of plasma-assisted etch process
US20060008749A1 (en) * 2004-07-08 2006-01-12 Frank Sobel Method for manufacturing of a mask blank for EUV photolithography and mask blank
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
DE602005023779D1 (de) * 2004-12-10 2010-11-04 Toppan Printing Co Ltd Reflektierender fotomaskenrohling, reflektierende fotomaske und verfahren zur herstellung von halbleiterbauelementen unter verwendung dieser
US7534532B2 (en) * 2005-01-27 2009-05-19 Intel Corporation Method to correct EUVL mask substrate non-flatness
JP4535270B2 (ja) * 2005-02-24 2010-09-01 Hoya株式会社 反射型マスクの製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200037095A (ko) * 2018-09-28 2020-04-08 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 포토마스크를 제작 및 제공하는 방법
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
US11714350B2 (en) 2018-09-28 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating and servicing a photomask

Also Published As

Publication number Publication date
EP1901120B1 (en) 2012-08-15
US7771895B2 (en) 2010-08-10
TWI379354B (en) 2012-12-11
TW200823994A (en) 2008-06-01
JP2014042056A (ja) 2014-03-06
CN101144973B (zh) 2012-08-29
EP1901120A1 (en) 2008-03-19
JP2008072127A (ja) 2008-03-27
US20080070128A1 (en) 2008-03-20
CN101144973A (zh) 2008-03-19

Similar Documents

Publication Publication Date Title
US7771895B2 (en) Method of etching extreme ultraviolet light (EUV) photomasks
KR100925080B1 (ko) 셀프-마스킹층을 갖는 포토마스크 및 그의 에칭 방법
KR101196617B1 (ko) 포토마스크 제조에 적합한 크롬층의 플라즈마 에칭 방법
KR100822276B1 (ko) 보호 마스크를 이용하는 포토마스크 플라즈마 에칭 방법
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US10199224B2 (en) Method for improving CD micro-loading in photomask plasma etching
US20060154151A1 (en) Method for quartz photomask plasma etching
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
JP5459945B2 (ja) 位相シフトフォトマスク及びその製造方法
US9250514B2 (en) Apparatus and methods for fabricating a photomask substrate for EUV applications
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20090420

Effective date: 20110222