CN101144973A - 刻蚀远紫外光(edv)光掩模的方法 - Google Patents

刻蚀远紫外光(edv)光掩模的方法 Download PDF

Info

Publication number
CN101144973A
CN101144973A CNA2007101401396A CN200710140139A CN101144973A CN 101144973 A CN101144973 A CN 101144973A CN A2007101401396 A CNA2007101401396 A CN A2007101401396A CN 200710140139 A CN200710140139 A CN 200710140139A CN 101144973 A CN101144973 A CN 101144973A
Authority
CN
China
Prior art keywords
layer
etching
self
bulk absorption
mask
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101401396A
Other languages
English (en)
Other versions
CN101144973B (zh
Inventor
吴半秋
马德哈唯·R·钱德拉乔德
阿杰伊·库玛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101144973A publication Critical patent/CN101144973A/zh
Application granted granted Critical
Publication of CN101144973B publication Critical patent/CN101144973B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明提供了刻蚀EUV光掩模的方法的实施方式。在一个实施方式中,刻蚀远紫外光掩模的方法包括提供光掩模,所述光掩模依次包括衬底、多材料层、覆盖层和多层吸收层,所述多层吸收层包括在体吸收层上沉积的自掩模层,其中所述自掩模层包括钽和氧而所述体吸收层包括钽且基本不含氧;使用第一刻蚀工艺刻蚀自掩模层;以及使用不同于第一刻蚀工艺的第二刻蚀工艺刻蚀所述体吸收层,其中在第二刻蚀工艺期间所述体吸收层的刻蚀速率大于所述自掩模层的刻蚀速率。

Description

刻蚀远紫外光(EDV)光掩模的方法
技术领域
本发明的实施方式主要涉及在半导体器件制造中使用的光掩模,更具体地,涉及远紫外光(EUV)光掩模及其刻蚀方法。
背景技术
在集成电路(IC)、或芯片制造中,代表芯片的不同层的图案在一系列可重复使用的光掩模(在此也称为掩模)上产生从而在制造工艺期间将每个芯片层的设计转移到半导体衬底上。掩模类似于照相底片使用以将每层的电路图案转移至半导体衬底上。这些层使用一系列的工序形成并转移至小型晶体管和由每个完整芯片组成的电路中。因此,掩模中的任何缺陷可转移至芯片,潜在地不利影响性能。十分严重的缺陷可导致掩模完全失效。典型地,一组15到30个掩模用于构造芯片并可重复使用。
掩模通常包括具有沉积在其上的不透明的、吸光层的透明衬底。传统的掩模典型地包括在一侧上具有铬层的玻璃或衬底。铬层用抗反射涂层和光敏光刻胶覆盖。在构图工艺期间,例如,通过将光刻胶曝光于电子束或紫外光,从而使所曝光部分在显影液中溶解,电路设计印在掩模上。随后去除光刻胶的可溶部分,允许刻蚀(即,去除)所暴露的下层铬和抗反射层。
随着临近尺寸(CD)的减小,现有的光刻正接近45纳米(nm)技术节点的技术极限。下一代光刻(NGL)预期取代现有光刻方法,例如以32nm技术节点及更高节点。有几种NGL候选方法,诸如远紫外(EUV)光刻(EUVL)、电子投影光刻(EPL)、离子投影光刻(IPL)、纳米印刷和X-射线光刻。在所述方法中,EUVL为最可能的后继方法,原因在于EUVL具有光刻的大量特性,与其他NGL方法相比,其为更成熟的技术。
然而,EUV掩模制造仍存在待克服的技术问题。例如,EUV掩模刻蚀工艺优化仍在探索阶段。EUV掩模制造的关键问题包括刻蚀CD偏差控制、刻蚀CD均匀性、截面形貌、刻蚀CD线性、刻蚀选择性和缺陷控制。由于EUV掩模的严格标准和减小的CD公差,因此CD控制变得更加关键。预期要求接近0的刻蚀CD偏差以满足平均到目标(MTT)CD要求和均匀性控制。
主要的CD偏差问题来源于为软掩模的光刻胶腐蚀。最终的掩模CD特性是图案生成和图案转移工艺(刻蚀)的产物。一些固有的CD不均匀性可能存在于刻蚀之前,诸如由于电子束写入工艺而导致光刻胶中的结雾效应。较薄的光刻胶层有助于控制所述不均匀性,但由于有限的刻蚀选择性,导致光刻胶的薄度由随后所刻蚀的层限制(例如,在图案转移期间,由于吸收材料对光刻胶的有限的刻蚀速率选择性,所以大量消耗光刻胶)。光刻胶消耗越多,则图案转移工艺的保真性越低。
为了克服光刻胶局限,建议使用硬掩模用于CD控制。然而,额外的硬掩模将致使掩模制造更加复杂。当硬掩模完成其功能时,必须将其去除而不影响其他层(例如,不影响吸收层和缓冲层/覆盖层,以及不带入任何缺陷到掩模中)。这要求高的掩模选择性,从而使EUV掩模制造更加困难。由硬掩模的使用导致的高成本和低产量是额外的关心问题。
因此,需要一种改善的EUV掩模和制造方法。
发明内容
在此提供了刻蚀EUV光掩模的实施方式。在一个实施方式中,刻蚀远紫外光掩模的方法包括提供光掩模,该光掩模依次包括衬底、多材料EUV反射层、覆盖层和多层吸收层,该多层吸收层包括在体吸收层上沉积的自掩模层,其中自掩模层包括钽和氧以及体吸收层包括钽且基本不含氧;使用第一刻蚀工艺刻蚀自掩模层;以及使用不同于第一刻蚀工艺的第二刻蚀工艺刻蚀体吸收层,其中在第二刻蚀工艺期间体吸收层的刻蚀速率大于自掩模层的刻蚀速率。
在另一实施方式中,一种用于在包括光刻胶层、在光刻胶层之下具有抗反射层和体子层的不透明层、覆盖层和衬底层的底版远紫外光掩模上产生图像的方法包括:在光刻胶层中产生构图的图像;去除不对应于构图图像的光刻胶层部分,从而暴露不对应于构图图像的不透明层的抗反射子层部分;使用第一刻蚀工艺去除不对应于构图图案的抗反射子层部分,从而暴露不对应于构图图案的体子层部分;使用具有体子层去除速率至少为抗反射子层去除速率10倍的第二刻蚀工艺去除不对应于构图图案的抗反射子层之下的所暴露的体子层部分,从而暴露不对应于构图图案的覆盖层部分;以及去除光刻胶层。
附图说明
因此为了使本发明的以上所述特征可详细理解,可参照附图中示出的实施方式对以上的简要所述的本发明进行更加详细的描述。然而,应当注意,附图仅示出本发明的典型实施方式并因此不应认为是其范围的限定,本发明可允许其他等同的优选实施方式。
图1A-图1C示出了使用本发明的方法的一个实施方式用于EUV掩模的制造工序的一个实施方式;
图2示出了用于刻蚀图1的EUV掩模的方法的一个实施方式的流程图;
图3为适合用于EUV掩模刻蚀的刻蚀反应器的示意图。
为了便于理解,尽可能使用相同的参考标记表示附图中共同的相同元件。附图中的图为示意性目的为简化的并不是按比例绘制。
具体实施方式
本发明提供刻蚀EUV光掩模的方法,该方法减小刻蚀CD偏差并改善图案转移保真性。以下参照图1-2描述用于从底版EUV掩模形成具有改善的临界尺寸和均匀性的成品掩模的工艺。图1A-1C示出了使用本发明的方法的一个实施方式用于EUV掩模的制造工序的一个实施方式。图2示出了用于刻蚀参照图1A-1C所述的EUV掩模的方法200的一个实施方式的流程图。方法200可在从加州,Santa Clara的应用材料公司购买得到的TETRATM I、TETRATM II、或DPS刻蚀腔室中,或其他适合刻蚀腔室中执行,如以下参照图3所述。方法200可以计算机可读形式存储在控制器的存储器中或腔室的其他存储介质中。
方法200在步骤202开始,其中光刻胶层114沉积在EUV掩模100上并构图以形成对应于待转移至EUV掩模100的设计的开口116(如图1A所示)。EUV掩模100开始作为底版EUV掩模101并依次包括衬底102、EUV反射多材料层104、覆盖层106、多层吸收层108。可选地,包括二氧化硅(SiO2)(未示出)的缓冲层可沉积在多层吸收层108和覆盖层106之间。EUV掩模100使用与传统掩模相同的衬底材料和尺寸。因此,衬底102典型地包括硅基材料,诸如石英(即,二氧化硅,SiO2)等。衬底102可为适合用作光掩模的任意尺寸。在一个实施方式中,衬底102具有约5-9英寸之间的边长的矩形形状。衬底102可为约0.15-0.25英寸之间厚。在一个实施方式中,衬底102为约0.25英寸厚。
多材料层104可为含钼和硅(Mo/Si)层。例如,在一个实施方式中,多材料层104包括交替的Mo和Si层,例如,40对Mo和Si层。多材料层104可具有在13.5nm波长下达70%的EUV光反射率。多材料层104一般为70-140nm之间的厚度。
覆盖层106用作在多材料层104和多层吸收层108之间的组合的缓冲层和覆盖层。覆盖层106一般包括锆(Zr)和硅(Si)并可形成至约8-20nm之间的厚度。在一个实施方式中,覆盖层106的厚度为约10nm。
多层吸收层108为不透明的遮光层并可为约40-110nm之间厚。多层吸收层108和覆盖层106的层叠厚度典型地为约70-130nm之间,以及在一个实施方式中,为约100nm。这些层的低总厚度有利地促使满足对于在亚45nm技术节点应用中的EUV掩模的严格的总刻蚀形貌公差(例如在32nm技术节点和更高节点应用中)。
多层吸收层108包括体吸收层110(也称为体子层)和自掩模层112(也称为抗反射子层)。体吸收层110可为多层吸收层108的厚度的约80-85%之间(即,在约30-90nm厚)。体吸收层110可包括基本不含氧的钽基材料,诸如钽硅化物基材料(在下文中TaSi),氮化钽硼基材料(在下文中TaBN),以及钽氮化物基材料(在下文中TaN)。
自掩模层112可为多层掩模层108的厚度的约15-20%之间(即,在约10-30nm之间厚)。自掩模层112的成分一般包括钽基和氧基材料。当体吸收层110包括TaSi时,自掩模层112的成分对应于体吸收层110的成分并可包括氧化和氮化钽和硅基材料(在下文中TaSiON);当体吸收层110包括TaBN时,自掩模层112可包括钽硼氧基材料(在下文中TaBO);当体吸收层110包括TaN时,自掩模层112可包括氧化和氮化钽基材料(在下文中TaON)。
在EUV掩模100的刻蚀期间,体吸收层110和自掩模层112的成分之间的关系有利地提供减少的缺陷形成。例如,第一刻蚀工艺可用于刻蚀自掩模层112(如在以下更详细描述),然后第二刻蚀工艺可用于刻蚀贯穿体吸收层110,同时保持体吸收层110对自掩模层112的高刻蚀选择性,因此使自掩模层112用作硬掩模,即“自掩模”,并从而便于使用更薄的光刻胶层114。与使用传统的“软”光刻胶材料的刻蚀工艺相比,所述材料的组合和多步骤刻蚀工艺有利地提供较低的刻蚀CD偏差和更好的CD均匀性。
光刻胶层114包括任意适合的光敏抗蚀剂(resist)材料,诸如电子束抗蚀剂(例如,化学放大胶(CAR)),并可以任意适合方式沉积和构图。光刻胶层114可沉积至约100-1000nm之间的厚度。如上所述,光刻胶层114沉积在自掩模层112之上并构图以形成开口116,从而暴露自掩模层112的对应部分。
接下来,在步骤204,使用光刻胶层114作为掩模在第一刻蚀工艺中刻蚀自掩模层112,从而将开口116的图案转移至自掩模层112(如图1B所示),并因此暴露体吸收层110的对应部分。在自掩模层112包括TaSiON的实施方式中,在第一刻蚀工艺期间,自掩模层112对抗蚀剂的刻蚀选择性为约0.5,以及自掩模层112对体吸收层110的选择性大于8。
通过将层(通过开口116)暴露于包括来自含氟气体、四氯化碳(CCl4)、或氯化氢(HCl)的至少其中之一的第一工艺气体(或气体混合物)的物种中,第一刻蚀工艺刻蚀自掩模层112。适合的含氟气体的实施例包括四氟化碳(CF4)、六氟化碳(C2F6)、六氟化硫(SF6)、三氟甲烷(CHF3)等。在一个实施方式中,以约10-100标准立方厘米每分钟(sccm)之间的速率提供CF4。可选地,可以约50-200sccm之间流速提供诸如氦(He)或氩(Ar)的载气。一个具体的工艺配方以约50sccm速率提供CF4并伴随约100sccm流速的载气。工艺腔室的压力控制至低于约40毫托,以及在一个实施方式中,在约1和约10毫托之间,例如2毫托。
等离子体由第一工艺气体形成,例如,通过将来自等离子体功率源的约300到约600W之间的RF功率施加在工艺腔室的天线上,如下文所述。一般认为等离子体可利用其他方法激发。在一个实施方式中,约420W的RF功率在约13.56MHz的频率下施加。
可选地,施加衬底偏置功率以偏置掩模100。偏置功率可低于约600W,或在第一实施例中,低于约100W,或在第二实施例中,在约20到约150W之间。一个具体的工艺配方施加约25W的偏置功率。偏置功率可进一步为在约1-20MHz之间的频率,或在一个实施方式中,在13.56MHz频率下提供的RF信号。
可可选地脉冲偏置功率。偏置功率可以约10-95%之间,或在一个实施方式中,约20-95%之间的占空比脉冲。在一个实施方式中,偏置源140配置以在约1到约10kHz之间的频率下提供低于600W的RF功率,并具有约10到约95%之间的占空比。在另一实施方式中,偏置源140配置以在约2到约5kHz之间的脉冲频率下提供约20到约150W之间的RF功率,并具有约20到约95%之间的占空比。
在处理期间,阴极温度可维持在约15-30摄氏度之间的温度以及腔室壁的温度可维持在约50-80摄氏度之间的温度。在一个实施方式中,阴极温度可维持在约20摄氏度的温度以及腔室壁的可维持在约65摄氏度的温度。
接下来,在步骤206,在第二刻蚀工艺中使用自掩模层112和剩余的光刻胶层114作为掩模刻蚀体吸收层110,从而将开口116的图案转移到体吸收层110上(如图1C所示),并因此暴露覆盖层106(或缓冲层,当存在时)的相应部分。可选地,在实施步骤206之前,可去除或剥离剩余的光刻胶层114。第二刻蚀工艺有利地具有体吸收层110对自掩模层112的高选择性,从而允许自掩模层112作为用于将图案(例如,开口116)转移至体吸收层110的硬掩模。第二刻蚀工艺保持体吸收层对自掩模层的至少为10的选择性。在一个实施方式中,其中体吸收层110包括TaSi以及第二工艺气体包括Cl2,体吸收层110对光刻胶的刻蚀选择性为约3.8以及体吸收层110对自掩模层112的刻蚀选择性为约15。
第二刻蚀工艺通过将层(通过开口116)暴露于来自包括至少一种含氯气体的第二工艺气体(或气体混合物)的物种中刻蚀体吸收层110。适合的含氯气体的实施例包括氯气(Cl2)、四氯化碳CCl4、氯化氢HCl等。
在一个实施方式中,以约10-200sccm之间的速率提供第二工艺气体。可选地,可以约50-200sccm之间的流速提供诸如氦(He)或氩(Ar)的载气。一个具体的工艺配方以约100sccm速率提供工艺气体并伴随以约100sccm流速提供载气。工艺腔室的压力控制至低于约40毫托,以及在一个实施方式中,在约1和约10毫托之间,例如6毫托。
等离子体由第二工艺气体形成,例如,通过将来自等离子体功率源的约300到约600W之间的RF功率施加在工艺腔室的天线上,如下文所述。一般认为等离子体可利用其他方法激发。在一个实施方式中,约420W的RF功率在约13.56MHz的频率下施加。
可选地,施加衬底偏置功率以偏置掩模100。偏置功率可低于约600W,或在第一实施例中,低于约100W,或在第二实施例中,在约20到约150W之间。一个具体的工艺配方施加约20W的偏置功率。偏置功率可进一步为在约1-20MHz之间的频率,或在一个实施方式中,在13.56MHz频率下提供RF信号。
可选地可脉冲偏置功率。偏置功率可以约10-95%之间,或在一个实施方式中,约20-95%之间的占空比脉冲。在一个实施方式中,偏置源140配置以在约1到约10kHz之间的频率下提供低于600W的RF功率,并具有约10到约95%之间的占空比。在另一实施方式中,偏置源140配置以在约2到约5kHz之间的脉冲频率下提供约20到约150W之间的RF功率,并具有约20到约95%之间的占空比。
在处理期间,阴极温度可维持在约15-30摄氏度之间的温度以及腔室壁的温度可维持在约50-80摄氏度之间的温度。在一个实施方式中,阴极温度可维持在约20摄氏度的温度以及腔室壁的可维持在约65摄氏度的温度。
在步骤206完成时,方法200基本结束以及EUV掩模100现具有转移至EUV掩模100的多层吸收层108的预期图案。然而,考虑额外的处理完成EUV掩模100。例如,在缓冲层存在的实施方式中,缓冲层可使用现有技术公知的诸如SF6、CF4等的含氟气体刻蚀贯穿至覆盖层106,以完成EUV掩模100的结构。
与传统的刻蚀方式相比,方法200有利地提供具有改善CD和均匀性的EUV掩模100。例如,当在步骤206期间使用第二刻蚀工艺刻蚀体吸收层110时,剩余的光刻胶114可在开口116的拐角上去除,从而将部分自掩模层112暴露于氯等离子体中。然而,由于在氯等离子体中体吸收层110对自掩模层112的高选择性,因此即使光刻胶层114的CD可能变化,CD也将不会显著缩小。因此,最终CD主要由步骤204期间的自掩模层112刻蚀确定,由于自掩模层112的较小的厚度,因此自掩模层112刻蚀将不会明显导致CD刻蚀偏差。另外,由于局部刻蚀CD偏差致使刻蚀CD均匀性,因此低CD偏差将进一步有益于CD均匀性控制。方法200可有利地用于提供具有减小CD偏差,例如,从约0-10nm(即小于10nm)的掩模。
在此提供的新刻蚀方法的实施方式提供对刻蚀CD偏差和均匀性的更好控制。该掩模结构和方法使用传统的材料和刻蚀工序,即,在没有技术困难下,提供具有改善的刻蚀CD均匀性控制的“零刻蚀偏差”。
图3示出了可实施本发明的方法的刻蚀反应器300的一个实施方式的示意图。可适于与在此公开的教导使用的适合的反应器包括,例如,去耦合等离子体源(DPS)II反应器,或TETRATMI和TETRATMII光掩模刻蚀系统,所有这些可从加州的Santa Clara的应用材料公司购得。DPSII反应器还可用作CENTURA集成半导体晶圆处理系统的处理模块,也可从应用材料公司购买得到。在此所示的反应器300的特定的实施方式为示意性目的提供并不应当用于限定本发明的范围。
反应器300一般包括具有在导电体(壁)304内的衬底基座324的工艺腔室302,和控制器346。腔室302具有基本平的电介质顶308。腔室302的其他变型可具有其他类型的顶,例如,圆形顶。天线310设置在顶308之上。天线310包括可选择性控制的一个或多个感应线圈元件(两个同轴元件310a和310b在图3中示出)。天线310经过第一匹配网络314耦合至等离子体功率源约312。等离子体功率源312典型地能在从约50kHz到约13.56MHz范围内的可调频率下产生达约3000瓦(W)的频率。在一个实施方式中,等离子体功率源312提供约300到600W的感应耦合RF功率。
衬底基座(阴极)324经过第二匹配网络342耦合至偏置功率源340。偏置功率源340在约1到约10kHz范围内的可调脉冲频率下提供约0到约600W之间的频率。配置源340产生脉冲式RF功率输出。可选地,偏置功率源340可产生脉冲式DC功率输出。一般认为偏置功率源340还可提供恒定的功率输出。
在一个实施方式中,偏置功率源340配置以在约1到10kHz之间的脉冲频率下提供小于约600W的RF功率,并具有约10到约95%之间的占空比。在另一实施方式中,偏置功率源340配置以在约2到约5kHz的脉冲频率下提供约20到约150W之间的RF功率,并具有约80到约95%之间的占空比。
在一个实施方式中,如在DPS反应器中,衬底支撑基座324可包括静电卡盘360。静电卡盘360包括至少一个夹紧电极332并由卡盘电源366控制。在可选实施方式中,衬底基座324可包括衬底固定装置,诸如基座夹环、机械卡盘等。
气体仪表盘320连接至处理腔室302以将工艺气体和/或其他气体提供至工艺腔室302的内部。在图3所示的实施方式中,气体仪表盘320连接至在腔室302的侧壁304中的的管道318中形成的一个或多个进气口316。一般认为一个或多个进气口316可提供在其他位置,例如,在工艺腔室302的顶308中。
在一个实施方式中,气体仪表盘320适于在处理期间选择性提供一种或多种工艺气体经过进气口316并进入到处理腔室302的内部。例如,在一个实施方式中,气体仪表盘320可适于选择性提供含氟和/或含氯工艺气体(或多种气体)进入工艺腔室302的内部,如以下结合刻蚀掩模的方法所述。在处理期间,等离子体由气体形成并通过来自等离子体功率源312的功率的感应耦合维持。等离子体可以可选地利用其他方法远程形成或激发。
腔室302中的压力利用节流阀362和真空泵363控制。真空泵363和节流阀362能维持腔室压力在约1到约20mT的范围内。
壁304的温度使用流经壁304的含液体管道(未示出)而控制。壁温度通常维持在约65摄氏度。典型地,腔室壁304由金属(例如,铝、不锈钢等)形成并耦合至电性接地306。工艺腔室302还包括用于工艺控制、内部诊断、终点检测等的传统系统。所述系统一起示为支持系统354。
掩模版适配器382可用于将衬底(诸如掩模版或其他工件)322固定在衬底支撑基座324上。掩模版适配器382一般包括底部384,其中压延该底部以覆盖基座324的上表面(例如,静电卡盘360),和具有形成的大小和形状以容纳衬底322的开口388的顶部386。开口388一般基本上参照基座324居中。适配器382通常由单件耐刻蚀腐蚀、耐高温材料诸如聚合物陶瓷或石英形成。在2001年6月26日授权的美国专利No.6,251,217中公开了适合的掩模版适配器,并在此引入其全部内容作为参考。边缘环326可覆盖和/或固定适配器于基座324上。
升降装置338用于下降或提升适配器382,并因此,下降或提升衬底322,以放置于或离开衬底支撑基座324。一般地,升降装置338包括经由各个定向孔336的多个升降杆(一个升降杆330示出)。
在操作中,衬底322的温度通过稳定衬底基座324的温度而控制。在一个实施方式中,衬底支撑基座324包括加热器344和可选的散热器328。加热器344可为一个或多个配置以贯穿其流动热传递流体的流体管道。在另一实施方式中,加热器344可包括由加热器电源368调节的至少一个加热元件334。可选地,来自气源356的背侧气体(例如,氦(He))经由气体管道358提供至在衬底322下的基座表面中形成的管道。背侧气体用于促进基座324和衬底322之间的热传递。在处理期间,基座324可利用嵌入式加热器344加热至稳定态温度,其结合氦背侧气体,有助于衬底322的均匀加热。
可选地,离子基护板327可设置在腔室主体302中的基座324上方。离子基护板327与腔室壁304和基座324电性隔离并一般包括具有多个孔329的基本平的板331。在图3所示的实施方式中,护板327由多个脚325支撑在腔室302中的基座上方。孔329限定护板327的表面中所需的开口面积,其控制从工艺腔室302的上工艺容积378形成的等离子体到达位于离子基护板327和衬底322之间的下工艺容积380的离子数量。开口面积越大,则越多的离子可穿过离子基护板327。同样地,孔329的大小和分布,以及板331的厚度控制容积380内的离子密度。因此,护板327为离子过滤器。在2004年6月30日递交的题为“METHOD ANDAPPRATUS FOR PHOTOMASK PLASMAETCHING”的美国专利申请序列号No.10/882,084中,Kumar等人描述了可适于受益于本发明的适合的护板的一个实施例,在此引入其全部内容作为参考。
控制器346包括中央处理器(CPU)350、存储器348和用于CPU350的支持电路352并辅助工艺腔室302的部件以及,同样地辅助刻蚀工艺的控制,如上所述。控制器346可为能在工业设置中使用的任一形式的通用目计算机处理器,其用于控制各种腔室和子处理器。控制器346的存储器348可为一种或多种易于得到的存储器,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任意其他形式的数字存储器、本地或远程的。支持电路352耦合至CPU350用于以传统方式支持处理器。这些电路包括缓冲器、电源、时钟电路、输入/输出电路和子系统等。本发明方法一般作为软件程序存储在存储器348中或其他CPU350可访问的计算机可读介质中。可选地,所述软件程序还可利用第二CPU(未示出)存储和/或执行,该第二CPU远离由CPU350控制的硬件而设置。
因此,在此提供了一种用于刻蚀EUV光掩模的方法,与传统的掩模相比,其具有低于10nm的CD偏差和改善的均匀性特点。具体地,在此公开的EUV掩模和刻蚀方法与传统的光掩模和制造方法相比具有更低的CD偏差和更好的均匀性。
虽然前述涉及本发明的实施方式,但在不偏离本发明的基本范围内可设计本发明的其他和进一步的实施方式,并且其范围由以下的权利要求书确定。

Claims (20)

1.一种刻蚀远紫外光掩模的方法,包括:
提供光掩模,所述光掩模依次包括衬底、多材料层、覆盖层和多层吸收层,所述多层吸收层包括在体吸收层上沉积的自掩模层,其中所述自掩模层包括钽和氧而所述体吸收层包括钽且基本不含氧;
使用第一刻蚀工艺刻蚀所述自掩模层;以及
使用不同于所述第一蚀刻工艺的第二刻蚀工艺刻蚀所述体吸收层,其中在所述第二刻蚀工艺期间所述体吸收层的刻蚀速率大于所述自掩模层的刻蚀速率。
2.根据权利要求1所述的方法,其特征在于,所述光掩模为底版远紫外掩模,该底版远紫外掩模进一步包括在所述不透明层上沉积的光刻胶层,以及其中所述自掩模层为抗反射子层而所述体吸收层为体子层,并该方法进一步包括:
在所述光刻胶层中产生构图的图像;
去除不对应于所构图的图像的部分所述光刻胶层,从而暴露不对应于所构图图像的不透明层的部分所述抗反射子层;
其中使用第一刻蚀工艺去除不对应于所构图图案的部分所述抗反射子层,从而暴露不对应于构图图案的部分所述体子层;
使用具有体子层去除速率至少为抗反射子层去除速率10倍的第二刻蚀工艺去除不对应于所构图图案的所述抗反射子层之下的所暴露的所述体子层部分,从而暴露不对应于所构图图案的部分所述覆盖层;以及去除所述光刻胶层。
3.根据权利要求1-2任意之一所述的方法,其特征在于,所述自掩模层包括TaSiON、TaBO或TaON的其中之一。
4.根据权利要求1-2的任意之一所述的方法,其特征在于,所述体吸收层包括TaSi、TaBN或TaN的其中之一。
5.根据权利要求1-2的任意之一所述的方法,其特征在于,所述自掩模层包括TaSiON以及所述体吸收层包括TaSi。
6.根据权利要求1-2的任意之一所述的方法,其特征在于,所述自掩模层包括TaBO以及所述体吸收层包括TaBN。
7.根据权利要求1-2的任意之一所述的方法,其特征在于,所述自掩模层包括TaON以及所述体吸收层包括TaN。
8.根据权利要求1-2的任意之一所述的方法,其特征在于,所述第一刻蚀工艺包括:
使用包括含氟气体、四氯化碳(CCl4)或氯化氢(HCl)的至少其中之一的工艺气体刻蚀所述自掩模层。
9.根据权利要求1-2的任意之一所述的方法,其特征在于,所述第一刻蚀工艺包括:
使用包括三氟甲烷(CHF3)、四氟化碳(CF4)、六氟化硫(SF6)、六氟化碳(C2F6)、四氯化碳(CCl4)或氯化氢(HCl)的至少其中之一的工艺气体刻蚀所述自掩模。
10.根据权利要求1-2的任意之一所述的方法,其特征在于,所述第二刻蚀工艺包括:
使用至少一种含氯的工艺气体刻蚀所述体吸收层。
11.根据权利要求1-2的任意之一所述的方法,其特征在于,所述第二刻蚀工艺包括:
使用包括氯气(Cl2)、四氯化碳(CCl4)或氯化氢(HCl)的至少其中之一的工艺气体刻蚀所述体吸收层。
12.根据权利要求1-2的任意之一所述的方法,其特征在于,所述衬底包括石英,所述多材料层包括钼和硅,以及所述覆盖层包括锆和硅。
13.根据权利要求1-2的任意之一所述的方法,其特征在于,所述覆盖层和所述多材料吸收层的厚度为约70和130nm之间。
14.根据权利要求1-2的任意之一所述的方法,其特征在于,所述覆盖层和所述多材料吸收层的厚度为约100nm。
15.根据权利要求1-2的任意之一所述的方法,其特征在于,所述体吸收层为所述多层吸收层的厚度的约80-85%之间。
16.根据权利要求1-2的任意之一所述的方法,其特征在于,所述自掩模层为所述多层吸收层的厚度的约15-20%之间。
17.根据权利要求1-2的任意之一所述的方法,其特征在于,所述不透明多层吸收层的厚度为40-100nm之间。
18.根据权利要求1所述的方法,其特征在于,进一步包括:
在刻蚀所述自掩模层之前在所述自掩模层上沉积并构图光刻胶层。
19.根据权利要求2或18所述的方法,其特征在于,所述光刻胶层具有约100-1000nm之间的厚度。
20.根据权利要求1所述的方法,其特征在于,所述第二刻蚀工艺维持所述体吸收层对所述自掩模层至少10的选择性。
CN2007101401396A 2006-09-15 2007-08-06 刻蚀远紫外光(edv)光掩模的方法 Expired - Fee Related CN101144973B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/532,280 US7771895B2 (en) 2006-09-15 2006-09-15 Method of etching extreme ultraviolet light (EUV) photomasks
US11/532,280 2006-09-15

Publications (2)

Publication Number Publication Date
CN101144973A true CN101144973A (zh) 2008-03-19
CN101144973B CN101144973B (zh) 2012-08-29

Family

ID=38720397

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007101401396A Expired - Fee Related CN101144973B (zh) 2006-09-15 2007-08-06 刻蚀远紫外光(edv)光掩模的方法

Country Status (6)

Country Link
US (1) US7771895B2 (zh)
EP (1) EP1901120B1 (zh)
JP (2) JP2008072127A (zh)
KR (1) KR20080025294A (zh)
CN (1) CN101144973B (zh)
TW (1) TWI379354B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7977016B2 (en) 2008-06-20 2011-07-12 Hynix Semiconductor Inc. Method for fabricating extreme ultraviolet lithography mask
CN103420329A (zh) * 2013-08-29 2013-12-04 上海宏力半导体制造有限公司 用于MEMS工艺的TaN刻蚀聚合物残留去除方法
CN103529641A (zh) * 2012-07-05 2014-01-22 台湾积体电路制造股份有限公司 极紫外光刻工艺和掩膜
CN103605260A (zh) * 2013-12-02 2014-02-26 中国科学院微电子研究所 一种纳米尺度euv掩模的制备方法
CN103901715A (zh) * 2012-12-24 2014-07-02 中芯国际集成电路制造(上海)有限公司 一种掩膜板及其制造方法
CN106663602A (zh) * 2014-07-11 2017-05-10 应用材料公司 具吸收剂的平面化极紫外光刻基底及其制造系统
CN112384854A (zh) * 2018-07-25 2021-02-19 应用材料公司 护膜粘合剂残留物移除系统和方法

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7442650B2 (en) * 2007-01-10 2008-10-28 International Business Machines Corporation Methods of manufacturing semiconductor structures using RIE process
JP4465405B2 (ja) * 2008-02-27 2010-05-19 Hoya株式会社 フォトマスクブランクおよびフォトマスク並びにこれらの製造方法
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
KR20140004101A (ko) * 2011-02-01 2014-01-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크
WO2013055586A1 (en) * 2011-10-13 2013-04-18 Applied Materials, Inc. Method for etching euv reflective multi-material layers utilized to form a photomask
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
CN103091747B (zh) * 2011-10-28 2015-11-25 清华大学 一种光栅的制备方法
CN103086607B (zh) * 2011-10-28 2015-08-26 清华大学 光栅的制备方法
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8691476B2 (en) * 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8900469B2 (en) 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8679707B2 (en) * 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) * 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
US9425062B2 (en) * 2013-03-14 2016-08-23 Applied Materials, Inc. Method for improving CD micro-loading in photomask plasma etching
US9230809B2 (en) * 2013-10-17 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
KR101567057B1 (ko) * 2013-11-15 2015-11-09 주식회사 에스앤에스텍 극자외선용 블랭크 마스크 및 이를 이용한 포토마스크
US9739913B2 (en) 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US9690016B2 (en) 2014-07-11 2017-06-27 Applied Materials, Inc. Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US10802393B2 (en) * 2017-10-16 2020-10-13 Globalfoundries Inc. Extreme ultraviolet (EUV) lithography mask
KR102402767B1 (ko) 2017-12-21 2022-05-26 삼성전자주식회사 극자외선 마스크 블랭크, 극자외선 마스크 블랭크를 이용하여 제조된 포토마스크, 포토마스크를 이용한 리소그래피 장치 및 포토마스크를 이용한 반도체 장치 제조 방법
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
DE102019124781B4 (de) * 2018-09-28 2024-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen und behandeln einer fotomaske
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
WO2020223011A1 (en) * 2019-04-30 2020-11-05 Lam Research Corporation Atomic layer etch and selective deposition process for extreme ultraviolet lithography resist improvement
CN114200776A (zh) 2020-01-15 2022-03-18 朗姆研究公司 用于光刻胶粘附和剂量减少的底层
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11940725B2 (en) * 2021-01-27 2024-03-26 S&S Tech Co., Ltd. Phase shift blankmask and photomask for EUV lithography
JPWO2022186004A1 (zh) * 2021-03-02 2022-09-09
US11915932B2 (en) * 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
US6472107B1 (en) 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
KR100401503B1 (ko) * 2001-04-30 2003-10-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 및 그 제조방법
DE10156366B4 (de) 2001-11-16 2007-01-11 Infineon Technologies Ag Reflexionsmaske und Verfahren zur Herstellung der Reflexionsmaske
US20040072081A1 (en) 2002-05-14 2004-04-15 Coleman Thomas P. Methods for etching photolithographic reticles
JP4212025B2 (ja) * 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
JP4501347B2 (ja) * 2003-02-27 2010-07-14 凸版印刷株式会社 極限紫外線露光用マスク及びブランク並びにパターン転写方法
JP2004342734A (ja) * 2003-05-14 2004-12-02 Hoya Corp 反射型マスクブランクス及び反射型マスク
US20050042523A1 (en) * 2003-08-20 2005-02-24 Banqiu Wu Endpoint detection of plasma-assisted etch process
US20060008749A1 (en) * 2004-07-08 2006-01-12 Frank Sobel Method for manufacturing of a mask blank for EUV photolithography and mask blank
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
ATE482466T1 (de) 2004-12-10 2010-10-15 Toppan Printing Co Ltd Reflektierender fotomaskenrohling, reflektierende fotomaske und verfahren zur herstellung von halbleiterbauelementen unter verwendung dieser
US7534532B2 (en) * 2005-01-27 2009-05-19 Intel Corporation Method to correct EUVL mask substrate non-flatness
JP4535270B2 (ja) * 2005-02-24 2010-09-01 Hoya株式会社 反射型マスクの製造方法

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7977016B2 (en) 2008-06-20 2011-07-12 Hynix Semiconductor Inc. Method for fabricating extreme ultraviolet lithography mask
CN103529641A (zh) * 2012-07-05 2014-01-22 台湾积体电路制造股份有限公司 极紫外光刻工艺和掩膜
CN103529641B (zh) * 2012-07-05 2016-11-16 台湾积体电路制造股份有限公司 极紫外光刻工艺和掩膜
CN103901715A (zh) * 2012-12-24 2014-07-02 中芯国际集成电路制造(上海)有限公司 一种掩膜板及其制造方法
CN103420329A (zh) * 2013-08-29 2013-12-04 上海宏力半导体制造有限公司 用于MEMS工艺的TaN刻蚀聚合物残留去除方法
CN103420329B (zh) * 2013-08-29 2016-03-23 上海华虹宏力半导体制造有限公司 用于MEMS工艺的TaN刻蚀聚合物残留去除方法
CN103605260A (zh) * 2013-12-02 2014-02-26 中国科学院微电子研究所 一种纳米尺度euv掩模的制备方法
CN106663602A (zh) * 2014-07-11 2017-05-10 应用材料公司 具吸收剂的平面化极紫外光刻基底及其制造系统
CN106663602B (zh) * 2014-07-11 2019-11-26 应用材料公司 具吸收剂的平面化极紫外光刻基底及其制造系统
CN112384854A (zh) * 2018-07-25 2021-02-19 应用材料公司 护膜粘合剂残留物移除系统和方法

Also Published As

Publication number Publication date
EP1901120B1 (en) 2012-08-15
EP1901120A1 (en) 2008-03-19
TWI379354B (en) 2012-12-11
US7771895B2 (en) 2010-08-10
JP2014042056A (ja) 2014-03-06
JP2008072127A (ja) 2008-03-27
CN101144973B (zh) 2012-08-29
KR20080025294A (ko) 2008-03-20
US20080070128A1 (en) 2008-03-20
TW200823994A (en) 2008-06-01

Similar Documents

Publication Publication Date Title
CN101144973B (zh) 刻蚀远紫外光(edv)光掩模的方法
CN101144974B (zh) 具有自掩模层的光掩模及其刻蚀方法
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
CN101174086B (zh) 使用蚀刻反应器蚀刻纳米压印模板
US10199224B2 (en) Method for improving CD micro-loading in photomask plasma etching
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US20060154151A1 (en) Method for quartz photomask plasma etching
CN101174081A (zh) 掩模刻蚀工艺
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
JP5459945B2 (ja) 位相シフトフォトマスク及びその製造方法
US9250514B2 (en) Apparatus and methods for fabricating a photomask substrate for EUV applications
CN113138528A (zh) 极紫外光罩与其制造方法
US20230402283A1 (en) Method for fabricating mask

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent for invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120829

Termination date: 20190806