TWI379354B - Method of etching extreme ultraviolet light(euv) photomasks - Google Patents

Method of etching extreme ultraviolet light(euv) photomasks Download PDF

Info

Publication number
TWI379354B
TWI379354B TW096133115A TW96133115A TWI379354B TW I379354 B TWI379354 B TW I379354B TW 096133115 A TW096133115 A TW 096133115A TW 96133115 A TW96133115 A TW 96133115A TW I379354 B TWI379354 B TW I379354B
Authority
TW
Taiwan
Prior art keywords
layer
mask
self
etching
bulk
Prior art date
Application number
TW096133115A
Other languages
English (en)
Other versions
TW200823994A (en
Inventor
Banqiu Wu
Madhavi R Chandrachood
Ajay Kumar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200823994A publication Critical patent/TW200823994A/zh
Application granted granted Critical
Publication of TWI379354B publication Critical patent/TWI379354B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

1379354 九、發明說明: 【發明所屬之技術領域】 t i Β月的實施例主要涉及在半導體器件製造中使用的 光遮罩更具想地’涉及遠紫外光(extreme ultraviolet light, EUV )先遮罩及其蝕刻方法。 【先前技術】
在積體電路(integrated circuits, 1C)、或晶片製造 中’代表晶片的不同層的圖案,在一系列可重複使用的光 罩(在此也稱為遮罩)上產生,從而在製造製程期間將每 個晶片層的設計轉移到半導體基板上《遮罩類的使用類似 於照相底片,以將每層的電路圖案轉移至半導體基板上。 這些層使用一系列的製程形成,並轉移至小型電晶體和由 每個完整晶片組成的電路中。因此,遮罩中的任何缺陷可 轉移至晶片,潛在地對性能有不利的影響。十分嚴重的缺 陷可導致遮罩完全失效。典型地,構造晶片係使用一組1 5 到3 0個遮罩,並可重複使用。
遮罩通常包括一透明基板,其具有不透明的吸光層沉 積在其上。傳統的遮罩典型地包括一玻璃或石英基板,其 在一側上具有鉻層。鉻層則被抗反射塗層和光敏感性光阻 覆蓋。在圖案化製程期間,為了使電路設計印在遮罩上, 可藉由例如,通過將光阻曝光於電子束或紫外光,從而使 所曝光部分在顯影液中溶解。隨後去除光阻的可溶部分, 允許#刻(即,去除)所暴露的下層鉻和抗反射層。 隨著關鍵尺寸(critical dimensions,CD)的減小,現 有的光學微影術正接近45奈米(nm)技術節點的技術極 限。下一代微影術(next generation lithography,NGL)預 1379354
期取代現有微影術方法,例如以32nm技術節點及 點。有幾種NGL候選方法,諸如遠紫外(EUV ) (extreme ultraviolet lithography, EUVL)、電子投 術(electron projection lithography, EPL)' 離子投 術(ion projection lithography, IPL)、奈米印刷和 微影術。在所述方法中,EUVL為最可能的後繼方 因在於EUVL具有光學微影術大部份的特性,與其 方法相比,其為更成熟的技術。 然而,EUV遮罩製造仍存在待克服的技術問 如’ EUV遮罩蝕刻製程最佳化仍在探索階段。Ευν 造的關鍵問題包括蝕刻CD偏差控制、蝕刻CD均 截面形貌、蝕刻CD線性、蝕刻選擇性和缺陷控制 EUV遮罩的嚴格標準和減小的cd公差,因此CD 得更加關鍵。預期需要接近〇的蝕刻CD偏差,以 目標之平均(meari t0 target,MTT )的CD要求和均 制。 主要的CD偏差問題來源於為軟遮罩的光阻腐 終的遮罩CD特性是對圖案生成和圖案轉移製裎( 的貝獻。一些固有的CD不均勻性可能存在於蝕刻 諸如由於電子束寫入製程而導致光阻中的結霧致應 的光P且層有助於控制所述不均勻性,但由於有限的 擇性(例如’在圖案轉移期間,由於吸收材料對光 限的餘刻速率選擇性,所以大量消耗光阻),導致止 Λ , . ^ ^ 又 田隨後所蝕刻的層限制。光阻消耗越多,則 製程的精確性越低。 為了克服光阻的限制,建議使用硬遮罩以堂 …、而’額外的硬遮罩將致使遮罩製造更加複 更高節 微影術 影微影 影微影 X-射線 法,原 他NGL 題。例 遮罩製 勻性、 。由於 控制變 滿足達 勻性控 餘。最 蝕刻) 之前, 。較薄 蝕刻選 阻間有 1¾的薄 案轉移 C D控 °當硬 6 1379354
遮罩完成其功能時,必須將其去除而不影響j 不影響吸收層和緩衝層/覆蓋層,以及不帶入 罩中)。這提高了遮罩選擇性的要求,從而相 造更加困難。由硬遮罩的使用導致的高成本 外的考量問題。 因此,需要一種改善的EUV遮罩和製造 【發明内容】 在此提供了蝕刻EUV光遮罩的實施例。 中,蝕刻遠紫外光遮罩的方法包括提供光罩 包括基板、多材料EUV反射層、覆蓋層和多 多層吸收層包括在體吸收層(bulk absorber 的自遮罩層,其中自遮罩層包括钽和氧,以 括钽且基本上不含氧;使用第一蝕刻製程蝕 以及使用不同於第一蝕刻製程的第二蝕刻製 收層,其中在第二蝕刻製程期間,體吸收層 於自遮罩層的餘刻速率。 在另一實施例中,一種在底版遠紫 extreme ultraviolet photomask)上產生圖像的 遠紫外光罩包括光阻層、在光阻層之下具有 子層的不透明層、覆蓋層和基板層,該方法 層中產生圖案化的圖像;去除光阻層中不對 像的部分,從而暴露出不透明層中抗反射子 應於圖案化圖像的部分;使用第一蝕刻製程 子層中多箇不對應於圖案化圖案的部分,從 層中多個不對應於圖案化圖案的部分;使j 程,去除抗反射子層之下,體子層中已暴露 t他層(例如, 任何缺陷到遮 L EUV遮罩製 和低產量是額 方法。 在一個實施例 ,該光罩依次 層吸收層,該 layer)上沉積 及體吸收層包 刻自遮罩層; 程,蝕刻體吸 的ϋ刻速.率大 外光罩(blank 方法,該底版 抗反射層和體 包括:在光阻 應於圖案化圖 層之多個不對 ,去除抗反射 而暴露出體子 有第二蝕刻製 出來的多個不 7 1379354 對應於圖案化圖案的部分,從而暴露出覆蓋層中多個不對 應於圖案化圖案的部分,其中該第二蝕刻製程之體子層去 除速率至少為抗反射子層去除速率的10倍;以及去除光阻 層。 【實施方式】
本發明提供蝕刻 EUV光罩的方法,該方法減小蝕刻 C D偏差,並改善圖案轉移準確性。以下參照第I - 2圖,其 描述用於從底版EUV遮罩形成成品遮罩的製程,所形成的 遮罩具有改善的臨界尺寸和均勻性。第1A-1C圖示出了將 本發明的一方法實施例,用於EUV遮罩的製造次序的一個 實施例。第2圖示出了方法2 0 0的一個實施例的流程圖, 其係用以蝕刻第1 A_1C圖所述的EUV遮罩。方法200可 在從加州,Santa Clara 的應用材料公司購買得到的 TETRAtm I、TETRAtm II、或DPS®钱刻腔室中,或其他適 合蝕刻腔室中執行,如以下參照第3圖所述。方法200可 以電腦可讀形式存儲在控制器的記憶體中或腔室的其他存 儲介質中。
方法200在步驟202開始,其中光阻層1 14沉積在EUV 遮罩100上,且將其圖案化,以形成對應於待轉移至EUV 遮罩1 00的設計的開口 1 1 6 (如第1 A圖所示)。EUV遮罩 100開始作為底版EUV遮罩101,並依次包括基板102、 EUV反射多材料層104、覆蓋層106、多層吸收層108。可 選地,包括二氧化矽(Si02)(未示出)的緩衝層可沉積在 多層吸收層1 08和覆蓋層I 06之間。EUV遮罩1 00使用與 傳統遮罩相同的基板材料和尺寸。因此,基板102典型地 包括矽基材料,諸如石英(即,二氧化矽,Si02)等。基 1379354 板102可為適合用作光罩的任意尺寸。在一個實施例中, 基板102具有約5-9英寸之間的邊長的矩形形狀。基板102 可為約0.1 5-0.25英寸之間厚。在一個實施例中,基板1 02 為約0.25英寸厚。
多材料層104可為含ϋ和石夕(Mo/Si)層。例如,在一 個實施例中,多材料層104包括交替的Mo和Si層,例如, 40對Mo和Si層。多材料層104可具有在13.5nm波長下 達70 °/〇的EUV光反射率。多材料層104 —般為70-140 nm 之間的厚度。 覆蓋層106作為多材料層104和多層吸收層108間組 合的緩衝層和覆蓋層。覆蓋層106 —般包括锆(Zr)和矽 (Si),並可形成至約8 - 2 0 n m之間的厚度。在一個實施例 中,覆蓋層106的厚度為約10nm。
多層吸收層 108 為不透明的遮光層,並可為約 40-110nm之間厚。多層吸收層108和覆蓋層106的層疊厚 度典型地為約 7 0 - 1 3 0 nm之間,以及在一個實施例中,為 約1 0 0 n m。在亞4 5 n m技術節點應用中(例如在3 2 n m技術 節點和更高節點應用中),這些層的低總厚度有助於促進滿 足EUV遮罩嚴格的總蝕刻形貌公差。 多層吸收層108包括體吸收層110(也稱為體子層) 和自遮罩層112(也稱為抗反射子層)。體吸收層110可為 多層吸收層 108厚度約 80-8 5%之間(即,在約3 0-90nm 厚)。體吸收層110可包括基本不含氧的钽基材料,諸如钽 矽化物基材料(在下文中 Ta Si),氮化妲硼基材料(在下 文中TaBN ),以及钽氮化物基材料(在下文中TaN )。 自遮罩層112可為多層遮罩層108的厚度的約15-20% 之間(即,在約10-30nm之間厚)。自遮罩層1 12的成分 1379354 一般包括钽基和氧基材料。當體吸收層110包括TaSi時, 自遮罩層112的成分對應於體吸收層110的成分,並可包 括氧化和氮化鈕和矽基材料(在下文中Ta Si ON);當體吸 收層110包括TaBN時,自遮罩層112可包括鉅硼氧基材 料(在下文中TaBO);當體吸收層110包括TaN時,自遮 罩層112可包括氧化和氮化钽基材料(在下文中TaON )。
在EUV遮罩100的蝕刻期間,體吸收層110和自遮罩 層11 2的成分之間的關係有利地提供減少的缺陷形成。例 如,第一蝕刻製程可用於蝕刻自遮罩層 112(如在以下更 詳細描述),然後第二蝕刻製程可用於蝕刻貫穿體吸收層 110,同時保持體吸收層110對自遮罩層112的高蝕刻選擇 性,因此使自遮罩層1 12用作硬遮罩,即“自遮罩”,並 從而便於使用更薄的光阻層 1 1 4。與使用傳統的“軟”光 阻材料的蝕刻製程相比,所述材料的組合和多步驟蝕刻製 程有利地提供較低的蝕刻C D偏差和更好的C D均勻性。
光I5且層 114包括任意適合的光敏性光阻(resist)材 料,諸如電子束光阻(例如,化學增幅型光阻(CAR )), 並可以任意適合方式沉積和圖案化。光阻層1 1 4可沉積至 約1 0 0_ - 1 0 0 0 n m之間的厚度。如上所述,光阻層1 1 4沉積 在自遮罩層112之上,並被圖案化以形成開口 116,從而 暴露自遮罩層1 1 2的對應部分。 接下來,在步驟204,使用光阻層114作為遮罩,在 第一蝕刻製程中蝕刻自遮罩層112,從而將開口 116的圖 案轉移至自遮罩層112(如第1B圖所示),並因此暴露體 吸收層110的對應部分。在自遮罩層112包括Ta Si ON的 實施例中,在第一蝕刻製程期間,自遮罩層11 2對抗蝕劑 的蝕刻選擇性為約〇. 5,以及自遮罩層1 1 2對體吸收層11 0
10 1379354 的選擇性大於8 »
利用將層(通過開口 Π6)暴露於包括來自含氟氣體、 四氯化碳(CC14 )、或氣化氫(HC1 )的至少其中之一的第 一製程氣體(或氣體混合物)的物種中,第一蝕刻製程蝕 刻自遮罩層112。適合的含氟氣體的實施例包括四氟化碳 (CF4 )、六氟化碳(C2F6 )、六氟化硫(SF6 )、三氟甲烷 (chf3 )等。在一個實施例中,以約1 0 -1 00標準立方釐 米每分鐘(seem)之間的速率提供CF4。可選地,可以約 50-200sccm之間流速提供諸如氦(He)或氬(Ar)的載氣。 一個具體的製程配方以約50sccm速率提供CF4,並伴隨約 lOOseem流速的載氣。製程腔室的壓力控制至低於約40毫 托,以及在一個實施例中,在約1和約1 0毫托之間,例如 2毫托。 電漿由第一製程氣體形成,例如,通過將來自電漿功 率源約3 00到約600W之間的RF功率,施加在製程腔室的 天線上,如下文所述。一般認為電漿可利用其他方法激發。 在一個實施例中,約4 2 0 W的R F功率在約1 3 · 5 6 Μ Η z的頻 率下施加。
可選地,施加基板偏置功率,以偏置遮罩100。偏置 功率可低於約6 0 0 W ’或在第一實施例中,低於約1 0 0 W, 或在第二實施例中,在約2 0到約1 5 0 W之間。一個具體的 製程配方施加約25W的偏置功率。偏置功率可進一步為在 約1-2 0MHz間的頻率,所提供的提供的RF信號,或在一 個實施例中,在13.56MHz頻率下提供的RF信號。 可選擇地脈衝偏置功率。偏置功率可以約 1 〇 - 9 5 %之 間,或在一個實施例中,約 2 0 - 9 5 %之間的占空比產生脈 衝。在一個實施例中,偏置源1 40配置以在約1到約1 0kHz 1379354 之間的頻率下,提供低於600W的RF功率’並具有約1 Ο 到約9 5 %之間的占空比。在另一實施例中,偏置源1 4 0配 置以在約2到約5kHz之間的脈衝頻率下,提供約20到約 150W之間的RF功率,並具有約20到約95%之間的占空 比。
在製程期間,陰極溫度可維持在約攝氏1 5 - 3 0度之間 的溫度,以及腔室壁的溫度可維持在約攝氏5 0 - 8 0度之間 的溫度。在一個實施例中,陰極溫度可維持在約20攝氏度 的溫度,以及腔室壁的可維持在約6 5攝氏度的溫度。
接下來,在步驟 206,在第二蝕刻製程中使用自遮罩 層 1 1 2和剩餘的光阻層 1 1 4作為遮罩,以蝕刻體吸收層 110,從而將開口 116的圖案轉移到體吸收層110上(如第 1C圖所示),並因此暴露覆蓋層106(或緩衝層,當存在 時)的相應部分。可選地,在實施步驟206之前,可去除 或剝離剩餘的光阻層 1 1 4。第二蝕刻製程有利地具有體吸 收層110對自遮罩層112的高選擇性,從而允許自遮罩層 1 1 2作為硬遮罩,以將圖案(例如,開口 1 1 6 )轉移至體吸 收層110。第二蝕刻製程保持體吸收層對自遮罩層的至少 為丨0的選擇性。在一個實施例中,其中體吸收層1 1 0包括 TaSi,以及第二製程氣體包括Cl2,體吸收層110對光阻的 蝕刻選擇性為約3 . 8,以及體吸收層1 1 0對自遮罩層1 1 2 的蝕刻選擇性為約1 5。 第二蝕刻製程通過將層(通過開口 116)暴露於包括 至少一種含氣氣體的第二製程氣體(或氣體混合物)的物 種中,以蝕刻體吸收層 11 0。適合的含氣氣體的實施例包 括氣氣(Cl2 )、四氯化碳CC丨4、氯化氫HC1等。 在一個實施例中,以約1 0 - 2 0 0 s c c m之間的速率,提供 1379354 第二髮程氣體。可選地,可以約50-200sccm之間的流速, 提供諸如氦(He)或氬(Ar)的載氣。一個具體的製程配 方以約1 OOsccm速率提供製程氣體,並伴隨以約1 OOsccm 流速提供載氣。製程腔室的壓力控制至低於約40毫托,以 及在一個實施例中,在約1和約1 0毫托之間,例如6毫托。
電漿由第二製程氣體形成,例如,通過將來自電漿功 率源約300到約600W間的RF功率施加在製程腔室的天線 上,如下文所述。一般認為電漿可利用其他方法激發。在 一個實施例中,在約1 3 · 5 6 Μ Η z的頻率下施加約 4 2 0 W的 RF功率。 可選地,施加基板偏置功率以偏置遮罩 100。偏置功 率可低於約6 0 0 W,或在第一實施例中,低於約1 0 0 W,或 在第二實施例中,在約20到約1 50W之間。一個具體的製 程配方施加約2 0W的偏置功率。偏置功率可進一步為在約 1·20ΜΗζ間的頻率下,或在一個實施例中,在 13.56MHz 頻率下,所提供的RF信號。
可選地可脈衝偏置功率。偏置功率可以約 1 0 - 9 5 %之 間,或在一個實施例中,約2 0 - 9 5 %之間的占空比脈衝。在 一個實施例中,偏置源1 40係配置成在約1到約1 0kHz之 間的頻率下,提供低於600W的RF功率,並具有約1 0到 約9 5 %之間的占空比。在另一實施例中,偏置源1 4 0係配 置成在約2到約5kHz之間的脈衝頻率下,提供約20到約 1 50W之間的RF功率,並具有約20到約95%之間的占空 比。 在製程期間,陰極溫度可維持在約1 5 - 3 0攝氏度之間 的溫度,以及腔室壁的溫度可維持在約5 0 - 8 0攝氏度之間 的溫度。在一個實施例中,陰極溫度可維持在約2 0攝氏度 ί c 13 1379354 的溫度,以及腔室壁的可維持在約6 5攝氏度的溫度。 在步驟206完成時,方法200基本上已結束,以及EUV 遮罩100現具有轉移至EUV遮罩100的多層吸收層 108 的預期圖案。然而,可考慮額外的處理,以完成EUV遮罩 100。例如,在缓衝層存在的實施例中,緩衝層可使用現有 公知技術諸如 SF6、CF4等含氟氣體蝕刻貫穿至覆蓋層 106,以完成EUV遮罩1 00的結構。
與傳統的蝕刻方式相比,方法2 0 0有利於提供具有改 善CD和均勻性的EUV遮罩100。例如,當在步驟206期 間,使用第二蝕刻製程蝕刻體吸收層1 1 〇時,剩餘的光阻 114可在開口 116的拐角上去除,從而將部分自遮罩層112 暴露於氣電漿中。然而,由於在氣電漿中體吸收層110對 自遮罩層112的高選擇性,因此即使光阻層114的CD可 能變化,C D也將不會顯著縮小。因此,最終C D主要由步 驟204期間的自遮罩層112蝕刻確定,由於自遮罩層112 的較小的厚度,因此自遮罩層112蝕刻將不會明顯導致CD 蝕刻偏差。另外,由於局部蝕刻CD偏差影響蝕刻CD均 勻性,因此低C D偏差將進一步有益於C D均勻性控制。 方法2 0 0可有利地甩於提供具有減小C D偏差,例如,從 約0 -1 0 n m (即小於1 0 n m )的遮罩β 在此提供的新蝕刻方法的實施例,有助於提供對蝕刻 CD偏差和均勻性更好控制。該遮罩結構和方法使用傳統 的材料和蝕刻製程,即,在沒有技術困難下,提供具有改 善的蝕刻CD均勻性控制的「零蝕刻偏差」。 第3圖繪示了可實施本發明之方法的蝕刻反應器300 的一實施例示意圖。可適於與在此公開的教導使用的適合 的反應器包括,例如,去耦合電漿源(DPS® ) II反應器, 14 1379354 或TETRAtm I和TETRAtm II光遮罩蝕刻系統,所有這些 可從加州的Santa Clara的應用材料公司購得。DPS® II反 應器還可用作CENTURA®集成半導體晶圓處理系統的處理 模组,也可從應用材料公司購買得到。在此所示的反應器 300的特定的實施例為示意性目的,並不應當用於限定本 發明的範圍。
反應器3 00 —般包括製程腔室3 02和控制器346,其 中製程腔室3 02具有在導電體(壁)3 04内的基板基座324。 腔室302具有基本上平坦的介電質頂308。腔室302的其 他變型可具有其他類型的頂,例如,圓形頂。天線310設 置在頂308之上。天線310包括一個或多個可選擇性控制 的感應線圈元件(兩個同軸元件310a和310b在第3圖中 示出)。天線3 1 0經過第一匹配網路3 1 4耦合至電漿功率源 3 1 2。電漿功率源3 1 2典型地能在約5 0 k Η z到約1 3 . 5 6 Μ Η z 範圍内的可調頻率下,產生高達約3000瓦(W)的功率。 在一個實施例中,電漿功率源312提供約3 00到600W的 感應耦合RF功率。
基板基座(陰極)324經過第二匹配網路342耦合至 偏置功率源340。偏置功率源340在約1到約1 0kHz範圍 内的可調脈衝頻率下,提供約0到約6 0 0 W的功率。配置 源3 40產生脈衝式RF功率輸出。可選地,偏置功率源340 可產生脈衝式DC功率輸出。一般認為偏置功率源340還 可提供恒定的功率輸出。 在一個實施例中,偏置功率源3 4 0係配置成在約1到 10kHz間的脈衝頻率下,提供小於約600W的RF功率,並 具有約1 0到約9 5 %之間的占空比。在另一實施例中,偏置 功率源3 4 0係配置成在約2到約5 k Η z的脈衝頻率下,提 15 ί V ^ 1379354
供約20到約1 50W之間的RF功率,並具有約 之間的占空比。 在一個實施例中,如在DPS® II反應器中 基座324可包括靜電卡盤360。靜電卡盤360 個夾緊電極332,並由卡盤電源366控制。在 中,基板基座324可包括基板固定裝置,諸如 機械卡盤等。 氣體儀錶盤320連接至處理腔室302,以 和/或其他氣體提供至製程腔室302的内部。在 的實施例中,氣體儀錶盤 320連接至一個或 316,進氣口 316係在腔室302之側壁304中 中形成。一般認為可在其他位置提供一個或 316,例如,在製程腔室302的頂308中。 在一個實施例中,氣體儀錶盤320適於在 擇性提供一種或多種製程氣體,經過進氣口 2 到處理腔室3 0 2的内部。例如,在一個實施例 錶盤320可適於選擇性提供含氟和/或含氣製车 種氣體)進入製程腔室302的内部,如下所述 罩的方法。在製程期間,電漿由氣體形成,並 漿功率源3 1 2的功率之感應耦合維持。電漿可 用其他方法遠端形成或激發。 腔室302中的壓力利用節流閥3 62和真 制。真空泵3 64和節流閥3 62能維持腔室壓力 20mT的範圍内。 壁304的溫度使用流經壁304的含液體管 而控制。壁溫度通常維持在約攝氏6 5度。典变 3 04由金屬(例如,鋁、不銹鋼等)形成,並 80到約95% ,基板支撐 包括至少一 可選實施例 基座夾環、 將製程氣體 第3圖所示 多個進氣口 的管道3 1 8 多個進氣口 製程期間選 1 1 6,並進入 中,氣體儀 £氣體(或多 結合钱刻遮 通過來自電 以可選地利 空泵364控 在約1到約 道(未示出) 丨地,腔室壁 耦合至電性 16 1379354 接地306。f程腔室302還包括用於製程控制、内部年 叩6乡斷 終點檢測等的傳統系統。所述系統一起示為支援系& _ 遮罩適配器3 82可用於將基板(諸如遮罩或其他工 322固定在基板支撐基座324上。 # ) 遮罩適配器382 —般包括底部384及頂部386, 壓延該底部以覆蓋基座 324的上表面(例如,靜 -*卡 其中 360 ),頂部3 86則具有開口 3 88,開口 3 88的大小和
盤 形狀 則塑形成用以容納基板3 2 2。開口 3 8 8 —般基本上參 座324位於居中。適配器3 82通常由耐蝕刻、耐高溫钮、、基 1斜(諸 如聚合物陶瓷或石英)的單件形成。在2〇〇1年6月26 告的美國專利No. 6,25],217中公開了適合的遮罩 器,並在此引入其全部内容作為參考。邊緣環326可覆^ 和/或固定適配器於基座324上。
升降裝置3 3 8用於下降或提升適配器382,並因此下 降或提升基板3 22 ’以將其放置於或離開基板支擇基座 3 24。一般地’升降裝置338包括通過各個定向孔336的多 個升降杆(僅繪示一個升降杆330)。 在操作中’基板322的溫度通過穩定基板基座3 24的 溫度而控制。在一個實施例中,基板支撐基座324包括加 熱器344和可選的散熱器328»加熱器344可為一個或多 個流體管道,係建構成用以流入熱傳遞流體於其中。在另 一實施例中’加熱器344可包括由加熱器電源368調節的 至少一個加熱元件334。可選地,經由氣體管道358,可將 來自氣源35 6的背側氣體(例如,氮(He ))提供至在基 板322下基座表面中所形成的管道。背側氣體用於促進基 座324和基板322之間的熱傳遞。在製程期間’基座324 17 ί Β ') 1379354 可利用嵌入式加熱器3 4 4加熱至穩定態溫度,其結合氦背 側氣體,有助於基板322的均勻加熱》
可選地’離子-自由基護板327可設置在腔室主體302 中的基座324上方。離子-自由基護板327與腔室壁304 和基座324電性隔離’並一般包括基本上平坦的板331, 板331具有多個孔329。在第3圖所示的實施例中,護板 327由多個腳325支撐在腔室302中的基座上方。孔329 限定護板327表面中所需的開口面積,其控制從製程腔室 302的上製程容積378所形成的電漿,到達位於離子-自由 基護板327和基板322之間的下製程容積380的離子數 量。開口面積越.大,則越多的離子可穿過離子-自由基護板 327。同樣地,孔329的大小和分佈以及板331的厚度,控 制容積380内的離子密度。因此,護板327為離子濾器。 在2004年6月30曰由Kumar等人申請,標題為 “METHOD AND APPRATUS FOR PHOTOMASK PLASMA ETCHING" 的美國專利申請序列號No. 1 0/8 8 2,0 84中,描述了適用於 受益本發明的一適合護板之實施例,在此引入其全部内容 作為參考。
控制器346包括中央處理器(CPU ) 350、記憶體348 和用於CPU 350的支援電路352,並輔助製程腔室302的 部件以及如上所述,同樣地輔助蝕刻製程的控制。控制器 346可為在工業設置中所使用的任一形式通用目的電腦處 理器,其用於控制各種腔室和子處理器。控制器346的記 憶體348可為一種或多種易於得到的記憶體,諸如隨機存 取記憶體(RAM )、唯讀記憶體(ROM )、軟碟、硬碟或任 意其他形式的數位記憶體、本地或遠端的。支援電路352 耦合至CPU 350,以使用傳統方式支援處理器。這些電路 (ς ^ ♦ '!*(· · 18 1379354
包括緩衝器、電源'時鐘電路、輸入/輸出電路和子系自 本發明方法一般以軟體程式存儲在記憶體348中,或 CPU 350可使用的電腦可讀介質中。可選地,所述軟 式還可利用第二 CPU (未示出)存儲和/或執行,該 CPU的設置係遠離由CPU 350所控制的硬體。 因此,在此提供了 一種用於蝕刻EUV光遮罩的;5 與傳统的遮罩相比,其具有低於1 〇nm的CD偏差和改 均勻性特點。具體地,與傳統的光遮罩和製造方法相 在此所揭示的EUV遮罩和蝕刻方法具有更低的CD偏 更好的均勻性。 雖然前述涉及本發明的實施例,但在不偏離本發 基本範圍内,可設計本發明其他和進一步的實施例, 範圍如下述申請專利範圍所界定。 【圖式簡單說明】 因此為了對本發明上述特徵更詳細理解,可參照 中示出的實施例,對以上簡要敘述的本發明作進行更 細的描述。然而,應當注意,附圖僅示出本發明的典 施例,因此不應認為是其範圍的限定,本發明可允許 等同的有效實施例。 第1 A -1 C圖繪示了利用本發明一方法之實施例, 一 EUV遮罩的製造次序之實施例; 第2圖繪示了用於蝕刻第1圖EUV遮罩之方法的 施例流程圖; 第3圖為適合用於EUV遮罩蝕刻的一蝕刻反應器 圖。 為了便於理解,儘可能使用相同的參考標記表示 ‘等。 其他 體程 第二 法, 善的 比, 差和 明的 且其 附圖 加詳 型實 其他 用於 一實 示意 附圖 19 r c 1379354 中共同的相同元件。附圖中的圖為示意性目的,為簡化目 的並不是按比例繪製。
【主要元件符號說明】 100 EUV遮罩 10 1 底版EUV遮罩 102 基板 104 多材料層 106 覆蓋層 108 多層吸收層 110 體吸收層 112 自遮罩層 114 光阻層 116 開口 200 方法 202 步驟 204 步驟 206 步驟 300 蝕刻反應器 302 製程腔室 304 壁 306 電性接地 308 頂 3 1 0 天線 3 1 0a 同軸元件 3 10b 同軸元件 312 電漿功率源 3 14 第一匹配網路 316 進氣口 3 18 管道 320 氣體儀錶盤 322 基板 324 基板支撐基座 325 腳 326 邊緣環 327 護板 328 散熱器 329 孔 330 升降杆 33 1 板 332 夾緊電極 334 加熱元件 20 1379354
336 定向孔 338 升 降裝置 340 偏置功率 源 342 第 二匹配 網路 344 加熱器 346 控 制器 348 記憶體 350 中 央處理 器 352 支援電路 354 支援系統 356 氣源 358 氣 體管道 360 靜電卡盤 362 AA- 即 流閥 364 真空泵 366 卡 盤電源 368 加熱器電 源 378 上 製程容積 380 下製程容積 382 遮 罩適配 器 384 底部 386 頂 部 388 開口
21

Claims (1)

1379354 r l★么月/¾修正本 第仏P11 r 钇卞利案(。(,$夕修正 十、申請專利範圍: 1. 一種姓刻遠紫外光遮罩的方法,包括: 提供一先遮罩,所述光遮罩依次包栝/基板、一多材 料層、覆蓋層及一多層吸收層,所述多廣吸收層包括在 一體吸收層上沉積的一自遮罩層,其中所述自遮罩層包括 钽和氧’而所述體吸收層包括钽真基本上不含氧; 使用一第一蝕刻製程蝕刻所述自遮罩層;以及 使用一不同於所述第一姓刻製程的第二姓刻製程’钱 刻所述體吸收層,其中在所述第二蝕刻製程期間’所述體 吸收層的姓刻速率大於所述自遮罩層的蝕刻速率。 2. 如申請專利範圍第1項所述的方法,其中所述光遮 罩為〆底版遠紫外光遮罩,該底版遠紫外光遮罩進一步包 括在所述多層吸收層上沉積的一光阻層,以及其中所述自 遮罩層為一抗反射子層,而所述體吸收層為一體子層,並 該方法進一步包括: 在所述光阻層中產生一圖案化的圖像; 去除所述光阻層上多個不對應於所述圖案化圖像的部 分’從而暴露出所述多層吸收層中所述抗反射子層之多個 不對應於所述圖案化圖像的部分; 其中使用第—蝕刻製程,去除所述抗反射子層多個暴 露出來的部分(其係不對應於所述圖案化圖案的部分),從 所述體子層中不對應於所述圖案化圖案的部分; 使用第二蝕刻製程,去除所述抗反射子層之下,不對 應於所述圓案化圖案之所述體子層中多個暴露出來的部 分’拔而暴露出所述覆蓋層中多個不對應於所述圖案化圖 22 1379354 像的部分,其中該第二蝕刻製程中之體子層去除速率至少 為抗反射子層去除速率的10倍;以及 去除所述光阻層。 3·如申請專利範圍第1或2項所述的方法,其中所述 自遮罩層包括TaSiON、TaBO或TaON的其中之一。 4·如申請專利範圍第1或2項所述的方法,其中所述 體吸收層包括TaSi、TaBN或TaN的其中之一。 5·如申請專利範圍第1或2項所述的方法,其中所述 自遮罩層包括TaSiON以及所述體吸收層包括TaSi。 6.如申請專利範圍第1或2項所述的方法,其中所述 自遮罩層包括TaBO以及所述體吸收層包括TaBN。 7·如申請專利範圍第1或2項所述的方法,其中所述 自遮罩層包括TaON以及所述體吸收層包括TaN。 8·如申請專利範圍第1或2項所述的方法,其中所述 第一蝕刻製程包括: 使用包括含氟氣體、四氣化碳(CC14)或氣化氫(HC1) 的至少一者的製程氣體蝕刻所述自遮罩層。 9·如申請專利範圍第1或2項所述的方法,其中所述 第一蝕刻製程包括: 使用包括三氟甲烷(CHF3 )、四氟化碳(CF4 )、六氟 23 1379354 化硫(SF6 )、六氟化碳(C2F6 )、四氣化碳(CC14 )或氣化 氫(HC1 )的至少一者的製程氣體蝕刻所述自遮罩層。 10·如申請專利範圍第1或2項所述的方法,其中所 述第二蝕刻製程包括: 使用至少一種含氣的製程氣體蝕刻所述體吸收層。 11.如申請專利範圍第1或2項所述的方法,其中所 述第二钱刻製程包括: 使用包括氯氣(Cl2)、四氣化碳(CC14)或氯化氫(HC1) 的至少一者的製程氣體蝕刻所述體吸收層。 12·如申請專利範圍第1或2項所述的方法,其中所 述基板包括石英,所述多材料層包括鉬和矽,以及所述覆 蓋層包括結和發。 13. 如申請專利範圍第1或2項所述的方法,其中所 述覆蓋層和所述多層吸收層的厚度為約70至130nm之間。 14. 如申請專利範圍第1或2項所述的方法,其中所 述覆蓋層和所述多層吸收層的厚度為約1 〇〇nm。 15. 如申請專利範圍第1或2項所述的方法,其中所 述體吸收層為所述多層吸收層之厚度的約80-85%之間。 16. 如申請專利範圍第1或2項所述的方法,其中所 述自遮罩層為所述多層吸收層之厚度的約1 5 - 2 0 %之間。 24 1379.354 17·如申請專利範圍第1或2項所述的方法,其中所 述多層吸收層的厚度為40-10 Onm之間。 18·如申請專利範圍第1項所述的方法,其中進一步 包括: 在钱刻所述自遮罩層之前,在所述自遮罩層上沉積並 圖案化一光阻層。 19·如申請專利範圍第2或18項所述的方法,其中所 述光阻層具有約l〇〇-l〇〇〇nm之間的厚度。 20·如申請專利範圍第1項所述的方法,其中所述第 二蝕刻製程維持所述體吸收層對所述自遮罩層至少10的 選擇性。 25
TW096133115A 2006-09-15 2007-09-05 Method of etching extreme ultraviolet light(euv) photomasks TWI379354B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/532,280 US7771895B2 (en) 2006-09-15 2006-09-15 Method of etching extreme ultraviolet light (EUV) photomasks

Publications (2)

Publication Number Publication Date
TW200823994A TW200823994A (en) 2008-06-01
TWI379354B true TWI379354B (en) 2012-12-11

Family

ID=38720397

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096133115A TWI379354B (en) 2006-09-15 2007-09-05 Method of etching extreme ultraviolet light(euv) photomasks

Country Status (6)

Country Link
US (1) US7771895B2 (zh)
EP (1) EP1901120B1 (zh)
JP (2) JP2008072127A (zh)
KR (1) KR20080025294A (zh)
CN (1) CN101144973B (zh)
TW (1) TWI379354B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI579639B (zh) * 2013-11-15 2017-04-21 S&S技術股份有限公司 極紫外線微影用空白罩幕以及使用該空白罩幕的光罩

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7442650B2 (en) * 2007-01-10 2008-10-28 International Business Machines Corporation Methods of manufacturing semiconductor structures using RIE process
JP4465405B2 (ja) * 2008-02-27 2010-05-19 Hoya株式会社 フォトマスクブランクおよびフォトマスク並びにこれらの製造方法
KR101020281B1 (ko) * 2008-06-20 2011-03-07 주식회사 하이닉스반도체 극자외선 리소그라피 마스크의 제조 방법
US8778204B2 (en) 2010-10-29 2014-07-15 Applied Materials, Inc. Methods for reducing photoresist interference when monitoring a target layer in a plasma process
KR20140004101A (ko) * 2011-02-01 2014-01-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사형 마스크 블랭크
WO2013055586A1 (en) * 2011-10-13 2013-04-18 Applied Materials, Inc. Method for etching euv reflective multi-material layers utilized to form a photomask
US8961804B2 (en) 2011-10-25 2015-02-24 Applied Materials, Inc. Etch rate detection for photomask etching
CN103091747B (zh) * 2011-10-28 2015-11-25 清华大学 一种光栅的制备方法
CN103086607B (zh) 2011-10-28 2015-08-26 清华大学 光栅的制备方法
US8808559B2 (en) 2011-11-22 2014-08-19 Applied Materials, Inc. Etch rate detection for reflective multi-material layers etching
US8691476B2 (en) * 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8900469B2 (en) * 2011-12-19 2014-12-02 Applied Materials, Inc. Etch rate detection for anti-reflective coating layer and absorber layer etching
US8628897B1 (en) * 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8679707B2 (en) * 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US9805939B2 (en) 2012-10-12 2017-10-31 Applied Materials, Inc. Dual endpoint detection for advanced phase shift and binary photomasks
US8778574B2 (en) 2012-11-30 2014-07-15 Applied Materials, Inc. Method for etching EUV material layers utilized to form a photomask
CN103901715A (zh) * 2012-12-24 2014-07-02 中芯国际集成电路制造(上海)有限公司 一种掩膜板及其制造方法
US9425062B2 (en) * 2013-03-14 2016-08-23 Applied Materials, Inc. Method for improving CD micro-loading in photomask plasma etching
CN103420329B (zh) * 2013-08-29 2016-03-23 上海华虹宏力半导体制造有限公司 用于MEMS工艺的TaN刻蚀聚合物残留去除方法
US9230809B2 (en) * 2013-10-17 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double patterning
CN103605260A (zh) * 2013-12-02 2014-02-26 中国科学院微电子研究所 一种纳米尺度euv掩模的制备方法
US9581889B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
US9581890B2 (en) 2014-07-11 2017-02-28 Applied Materials, Inc. Extreme ultraviolet reflective element with multilayer stack and method of manufacturing thereof
US9739913B2 (en) 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
US9690016B2 (en) 2014-07-11 2017-06-27 Applied Materials, Inc. Extreme ultraviolet reflective element with amorphous layers and method of manufacturing thereof
US10802393B2 (en) * 2017-10-16 2020-10-13 Globalfoundries Inc. Extreme ultraviolet (EUV) lithography mask
KR102402767B1 (ko) 2017-12-21 2022-05-26 삼성전자주식회사 극자외선 마스크 블랭크, 극자외선 마스크 블랭크를 이용하여 제조된 포토마스크, 포토마스크를 이용한 리소그래피 장치 및 포토마스크를 이용한 반도체 장치 제조 방법
US11467508B2 (en) * 2018-07-25 2022-10-11 Applied Materials, Inc. Pellicle adhesive residue removal system and methods
DE102019124781B4 (de) 2018-09-28 2024-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen und behandeln einer fotomaske
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
US11921427B2 (en) 2018-11-14 2024-03-05 Lam Research Corporation Methods for making hard masks useful in next-generation lithography
CN113785381A (zh) * 2019-04-30 2021-12-10 朗姆研究公司 用于极紫外光刻抗蚀剂改善的原子层蚀刻及选择性沉积处理
WO2021146138A1 (en) 2020-01-15 2021-07-22 Lam Research Corporation Underlayer for photoresist adhesion and dose reduction
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11940725B2 (en) * 2021-01-27 2024-03-26 S&S Tech Co., Ltd. Phase shift blankmask and photomask for EUV lithography
US20240134265A1 (en) * 2021-03-02 2024-04-25 Hoya Corporation Substrate with multilayer reflective film, reflective mask blank, reflective mask, and method for manufacturing semiconductor device
US11915932B2 (en) * 2021-04-28 2024-02-27 Applied Materials, Inc. Plasma etching of mask materials
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6228512B1 (en) * 1999-05-26 2001-05-08 The Regents Of The University Of California MoRu/Be multilayers for extreme ultraviolet applications
US6472107B1 (en) * 1999-09-30 2002-10-29 Photronics, Inc. Disposable hard mask for photomask plasma etching
KR100401503B1 (ko) * 2001-04-30 2003-10-17 주식회사 하이닉스반도체 반도체소자의 캐패시터 및 그 제조방법
DE10156366B4 (de) * 2001-11-16 2007-01-11 Infineon Technologies Ag Reflexionsmaske und Verfahren zur Herstellung der Reflexionsmaske
KR20040012451A (ko) * 2002-05-14 2004-02-11 어플라이드 머티어리얼스, 인코포레이티드 포토리소그래픽 레티클을 에칭하는 방법
JP4212025B2 (ja) * 2002-07-04 2009-01-21 Hoya株式会社 反射型マスクブランクス及び反射型マスク並びに反射型マスクの製造方法
US20060060565A9 (en) * 2002-09-16 2006-03-23 Applied Materials, Inc. Method of etching metals with high selectivity to hafnium-based dielectric materials
JP4501347B2 (ja) * 2003-02-27 2010-07-14 凸版印刷株式会社 極限紫外線露光用マスク及びブランク並びにパターン転写方法
JP2004342734A (ja) * 2003-05-14 2004-12-02 Hoya Corp 反射型マスクブランクス及び反射型マスク
US20050042523A1 (en) * 2003-08-20 2005-02-24 Banqiu Wu Endpoint detection of plasma-assisted etch process
US20060008749A1 (en) * 2004-07-08 2006-01-12 Frank Sobel Method for manufacturing of a mask blank for EUV photolithography and mask blank
US20060051681A1 (en) * 2004-09-08 2006-03-09 Phototronics, Inc. 15 Secor Road P.O. Box 5226 Brookfield, Conecticut Method of repairing a photomask having an internal etch stop layer
DE602005023779D1 (de) * 2004-12-10 2010-11-04 Toppan Printing Co Ltd Reflektierender fotomaskenrohling, reflektierende fotomaske und verfahren zur herstellung von halbleiterbauelementen unter verwendung dieser
US7534532B2 (en) * 2005-01-27 2009-05-19 Intel Corporation Method to correct EUVL mask substrate non-flatness
JP4535270B2 (ja) * 2005-02-24 2010-09-01 Hoya株式会社 反射型マスクの製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI579639B (zh) * 2013-11-15 2017-04-21 S&S技術股份有限公司 極紫外線微影用空白罩幕以及使用該空白罩幕的光罩

Also Published As

Publication number Publication date
EP1901120B1 (en) 2012-08-15
US7771895B2 (en) 2010-08-10
TW200823994A (en) 2008-06-01
JP2014042056A (ja) 2014-03-06
CN101144973B (zh) 2012-08-29
EP1901120A1 (en) 2008-03-19
JP2008072127A (ja) 2008-03-27
KR20080025294A (ko) 2008-03-20
US20080070128A1 (en) 2008-03-20
CN101144973A (zh) 2008-03-19

Similar Documents

Publication Publication Date Title
TWI379354B (en) Method of etching extreme ultraviolet light(euv) photomasks
TWI432886B (zh) 具有自罩層之光罩與其蝕刻方法
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
JP2006209128A (ja) 保護マスクを使用したホトマスクプラズマエッチング方法
US8778574B2 (en) Method for etching EUV material layers utilized to form a photomask
US10199224B2 (en) Method for improving CD micro-loading in photomask plasma etching
CN110609437A (zh) 光掩模坯料及其制造方法
US20130092655A1 (en) Method for etching an euv reflective multi-material layers utilized to form a photomask
JP5459945B2 (ja) 位相シフトフォトマスク及びその製造方法
US9250514B2 (en) Apparatus and methods for fabricating a photomask substrate for EUV applications
US7786019B2 (en) Multi-step photomask etching with chlorine for uniformity control
TW202217439A (zh) 光罩之製造方法及光罩底板