KR20200037095A - 포토마스크를 제작 및 제공하는 방법 - Google Patents

포토마스크를 제작 및 제공하는 방법 Download PDF

Info

Publication number
KR20200037095A
KR20200037095A KR1020190119128A KR20190119128A KR20200037095A KR 20200037095 A KR20200037095 A KR 20200037095A KR 1020190119128 A KR1020190119128 A KR 1020190119128A KR 20190119128 A KR20190119128 A KR 20190119128A KR 20200037095 A KR20200037095 A KR 20200037095A
Authority
KR
South Korea
Prior art keywords
photomask
plasma
sccm
plasma processing
processing chamber
Prior art date
Application number
KR1020190119128A
Other languages
English (en)
Other versions
KR102401702B1 (ko
Inventor
춘-푸 양
페이-쳉 수
타-쳉 리엔
신-창 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/568,028 external-priority patent/US11360384B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20200037095A publication Critical patent/KR20200037095A/ko
Application granted granted Critical
Publication of KR102401702B1 publication Critical patent/KR102401702B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/70741Handling masks outside exposure position, e.g. reticle libraries
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Library & Information Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

플라즈마 처리 챔버 내에서 해당 표면 상에 오염물을 갖는 포토마스크를 배치하는 단계를 포함하는 방법이 제시된다. 오염된 포토마스크는, 상기 표면으로부터 오염물을 제거하기 위해 플라즈마 처리 챔버 내에서 플라즈마 처리된다. 상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함한다.

Description

포토마스크를 제작 및 제공하는 방법{METHOD OF FABRICATING AND SERVICING A PHOTOMASK}
관련 출원에 대한 상호참조
본 출원은, 2018년 9월 28일자로 출원된 미국 가특허 출원 제62/738,003호에 대한 우선권을 주장하며, 상기 미국 가특허 출원의 전체 개시내용은 인용함으로써 본원에 포함된다.
기술분야
본 출원은 포토마스크를 제작 및 제공(servicing)하는 방법에 관한 것이다.
반도체 산업이 더 높은 디바이스 밀도, 더 높은 성능, 그리고 더 낮은 비용을 추구하면서 나노미터 기술 처리 노드로 발전해감에 따라, 제조상 문제 및 설계상 문제 양자 모두로부터의 과제가 더 증가하고 있다. IC 재료 및 IC 설계에 있어서의 기술적인 진보로 인해 다수의 IC 세대가 형성되었으며, 상기 IC 세대에서 각각의 IC 세대는 이전 세대에 비해 더 작고 더 복잡한 회로를 갖추고 있다. IC 개발의 과정에 있어서, 기능 밀도(functional density)(즉, 칩 면적 당 상호접속된 디바이스의 개수)는 일반적으로 증가되는 반면, 기하학적 크기[즉, 제조 과정을 이용하여 생성될 수 있는 최소 크기의 구성요소(또는 라인)]는 감소한다. 이러한 스케일링 다운 프로세스(scaling down process)는 일반적으로 생산 효율을 증가시킴으로써 그리고 관련 비용을 절감시킴으로써 이익을 제공한다. 이러한 스케일링 다운은 또한 IC의 처리 및 제조의 복잡성을 증가시킨다.
포토리소그래피 작업은 반도체 제조 프로세스에 있어서 주요한 작업 중 하나이다. 포토리소그래피 기법은 자외선 리소그래피, DUVL 리소그래피(deep ultraviolet lithography), 및 EUVL(extreme ultraviolet lithography)를 포함한다. 포토마스크는 포토리소그래피 작업에 있어서 중요한 구성요소이다. 분석 가능한 결함(resolvable defect)이 없도록 포토마스크를 제작 및 유지하는 것이 중요하다. 그러나, 포토마스크 제작 기법은 보통 전자 비임 리소그래피 및 에칭 작업을 포함하며, 전자 비임 리소그래피 및 에칭 작업은 입자 및 에칭 잔류물을 생성할 수 있다. 또한, 포토리소그래피 작업 중에 포토마스크를 사용하면 입자 잔류물이 생성될 수 있다. 예를 들면, EUVL은, 포토레지스트 노광 작업 중에 탄화수소 입자를 비롯한 오염물을 생성할 수 있다. EUV 노광 중에 생성되는 열은 포토레지스트의 부분적인 분해 및 휘발을 유발시킬 수 있다. 분해 및 휘발된 잔류물은 포토마스크를 오염시킬 수 있다. 추가적으로, 장기간의 포토마스크 보관 중에 포토마스크는 입자 및 잔류물에 의해 오염될 수 있다. 예를 들면, EUV 포토마스크에서의 고농도의 금속 원자로 인해 유발되는 반 데르 발스 힘은 오염물 입자를 끌어당긴다. 오염물 입자 및 잔류물은 탄화수소를 포함할 수 있다. 탄화수소 오염물은 포토마스크 세정 작업 중에 완전하게 제거되지 않을 수도 있다. 탄화수소 오염물은 근접도의 드리프트(proximity drift) 및 주요 치수 균일도의 드리프트(critical dimension uniformity drift) 그리고 화이트 스팟 결함(white spot defects)을 초래할 수 있다.
본 개시내용은, 첨부 도면과 함께 이하의 상세한 설명을 읽으면 가장 잘 이해될 것이다. 산업계에서의 표준 관례에 따라, 다양한 특징부는 축척대로 도시된 것이 아니며, 단지 설명하는 목적으로 사용된다는 것에 주의해야 한다. 실제로, 다양한 특징부의 치수는 논의점을 명확하게 하기 위해 임의로 확대 또는 축소될 수 있다.
도 1은 본 개시내용의 실시예에 따른 EUVL 툴(extreme ultraviolet lithography tool)을 도시한 것이다.
도 2는 본 개시내용의 실시예에 따른 EUVL 툴의 상세부의 개략도를 도시한 것이다.
도 3은 본 개시내용의 실시예에 따른 반사 마스크(reflective mask)의 단면도이다.
도 4a, 도 4b, 도 4c, 도 4d, 도 4e, 도 4f, 도 4g, 및 도 4h는, 본 개시내용의 실시예에 따른 포토마스크의 제작 및 세정 방법을 개략적으로 제시한 것이다.
도 5는 본 개시내용의 실시예에 따라 포토마스크로부터 오염물을 제거하는 방법을 제시하는 흐름도이다.
도 6a, 도 6b, 도 6c, 도 6d, 도 6e, 도 6f, 도 6g, 도 6h, 및 도 6i는, 본 개시내용의 실시예에 따른 포토마스크의 제작 및 세정 방법을 개략적으로 제시한 것이다.
도 7은 본 개시내용의 실시예에 따라 포토마스크를 제작하고 포토마스크로부터 오염물을 제거하는 방법을 제시하는 흐름도이다.
도 8은 본 개시내용의 실시예에 따라 포토마스크를 제작 및 사용하고 포토마스크로부터 오염물을 제거하는 방법을 제시하는 흐름도이다.
도 9는 본 개시내용의 실시예에 따라 포토마스크를 사용하고 포토마스크로부터 오염물을 제거하는 방법을 제시하는 흐름도이다.
도 10은 본 개시내용의 실시예에 따라 화이트 스팟 결함 및 주요 치수 균일도의 드리프트를 완화시키는 방법을 제시하는 흐름도이다.
도 11은 본 개시내용의 실시예에 따라 포토마스크로부터 오염물을 제거하는 방법을 제시하는 흐름도이다.
본 개시내용은 본 개시내용의 다양한 특징을 실시하기 위한, 다수의 상이한 실시예 또는 예를 제시하고 있다는 것을 이해해야 한다. 본 개시내용을 단순화시키기 위해 구성요소 및 배치에 관한 특정한 실시예 또는 예가 아래에서 설명된다. 이는 물론 단지 예일 뿐이며, 한정하려는 의도가 아니다. 예를 들면, 요소의 치수는 개시된 범위 또는 값으로 한정되지 않으며, 오히려 디바이스의 원하는 물성 및/또는 처리 조건에 따라 좌우될 수도 있다. 더욱이, 후술하는 설명에서 제2 특징부 위에 또는 제2 특징부 상에 제1 특징부를 형성하는 것은, 제1 특징부와 제2 특징부가 직접 접촉하도록 형성되는 실시예를 포함할 수도 있고, 또한 제1 특징부와 제2 특징부가 직접 접촉하지 않을 수 있도록 제1 특징부와 제2 특징부에 개재되는 추가적인 특징부가 형성될 수 있는 실시예를 포함할 수도 있다. 다양한 특징부는 단순화 및 명료성을 위해 다양한 스케일로 임의로 도시되어 있을 수도 있다.
또한, 공간적으로 상대적인 용어, 예컨대 "밑", "아래", "하위", "위", "상위" 등은 도면에 제시된 바와 같은 한 가지 요소 또는 특징부를 다른 요소(들) 또는 특징부(들)와의 관계에서 설명하기 위한 언급을 용이하게 하기 위해 본원에서 사용될 수도 있다. 상기 공간적으로 상대적인 용어는, 도면에 도시된 배향에 추가하여, 사용 시 또는 작동 시의 디바이스의 상이한 배향을 포괄하도록 의도된다. 전술한 디바이스는 달리 배향될 수도 있고(90도 회전하거나 또는 다른 배향으로 배향될 수도 있음) 그리고 본원에서 사용되는 공간적으로 상대적인 기술어구는 이에 따라 마찬가지로 해석될 수도 있다. 추가적으로, 용어 "~로 제조된"은 "~를 포함하는" 또는 "~로 이루어지는"을 의미할 수도 있다.
본 개시내용은 일반적으로 EUV 리소그래피 마스크 및 방법에 관련된다. EUVL 툴에 있어서, LPP(laser-produced plasma)는, 포토레지스트로 코팅된 기판을 이미징(imaging)하는 데 사용되는 EUV 방사선을 생성한다. EUV 툴에 있어서, 여기 레이저(excitation laser)는 LPP 챔버 내의 금속 타켓 액적(예컨대, 주석, 리튬 등)을 가열하여 이 액적을 플라즈마로 이온화시키며, 이에 따라 EUV 방사선이 방출된다. EUV 방사선의 재생 가능한 생성을 위해, 초점(또한 본 명세서에서 "여기 영역"이라고 함)에 도달하는 상기 금속 타겟 액적들은 실질적으로 동일한 크기를 가져야 하며, 여기 레이저로부터의 여기 펄스가 도달하는 시점과 동시에 여기 영역에 도달해야만 한다. 따라서, 타겟 액적 생성기로부터 여기 영역으로 균일한(또한 예측 가능한) 속도로 진행하는 금속 타겟 액적의 안정적인 생성은 LPP EUV 방사선 소스의 효율성 및 안정성에 기여한다.
도 1은 본 개시내용의 일부 실시예에 따라 구성된 EUV 리소그래피 툴의 개략도를, 레이저 생성 플라즈마(LPP) 기반의 EUV 방사선 소스와 함께 도시한 것이다. EUV 리소그래피 시스템은 EUV 방사선을 생성하기 위한 EUV 방사선 소스(100), 스캐너와 같은 노광 디바이스(200), 그리고 여기 레이저 소스(300)를 포함한다. 도 1에 도시된 바와 같이, 일부 실시예에 있어서, EUV 방사선 소스(100) 및 노광 디바이스(200)는 청정실의 메인 플로어(MF) 상에 설치되는 반면, 여기 레이저 소스(300)는 상기 메인 플로어 아래에 위치하는 베이스 플로어(BF)에 설치된다. EUV 방사선 소스(100) 및 노광 디바이스(200) 각각은, 각각 댐퍼(DP1 및 DP2)를 통해 페데스탈 플레이트(PP1 및 PP2; pedestal plate) 위에 배치된다. EUV 방사선 소스(100) 및 노광 디바이스(200)는, 포커싱 유닛을 포함할 수 있는 커플링 메커니즘에 의해 서로 결합된다.
EUV 리소그래피 툴은 EUV 광(또한 본 명세서에서는 상호교환적으로 EUV 방사선이라고도 함)에 의해 레지스트 층을 노광시키도록 구성된다. 상기 레지스트 층은 EUV 광에 민감한 재료이다. 상기 EUV 리소그래피 시스템은, 약 1 nm 내지 약 100 nm 사이의 범위인 파장을 갖는 EUV 광과 같은 EUV 광을 생성하기 위한 EUV 방사선 소스(100)를 채용한다. 구체적인 일례에 있어서, EUV 방사선 소스(100)는 약 13.5 nm에서 센터링(centering)되는 파장을 갖는 EUV 광을 생성한다. 본 실시예에 있어서, EUV 방사선 소스(100)는 EUV 방사선을 생성하기 위해 레이저 생성식 플라즈마(LPP)의 메커니즘을 이용한다.
노광 디바이스(200)는 다양한 반사식 광학 구성요소, 예컨대 볼록형/오목형/평면형 미러, 마스크 스테이지(mask stage)를 포함하는 마스크 유지 메커니즘, 그리고 웨이퍼 유지 메커니즘을 포함한다. EUC 방사선 소스(100)에 의해 생성되는 EUV 방사선(EUV)은 반사식 광학 구성요소에 의해 마스크 스테이지 상에 고정된 포토마스크 상으로 안내된다. 일부 실시예에 있어서, 상기 마스크 스테이지는 포토마스크를 고정시키기 위한 정전식 척(e-chuck)을 포함한다.
도 2는 본 개시내용의 실시예에 따른 EUVL 툴의 상세부에 관한 단순화된 개략도로서, EUV 광의 패턴화된 비임을 이용한, 포토레지스트로 코팅된 기판(210)의 노광을 나타낸 것이다. 노광 디바이스(200)는 집적 회로 리소그래피 툴이며, 예컨대 스텝퍼(stepper), 스캐너, 스텝 및 스캔 시스템(step and scan system), 직접 기록 시스템, 콘택트(contact) 및/또는 근접 마스크를 이용하는 디바이스 등이고, 노광 디바이스에는 예컨대 패터닝된 비임을 생성하기 위한 EUV 광의 빔으로 패터닝 광학계(205c), 예를 들어 포토마스크를 조사하기 위한 하나 이상의 광학계(205a, 205b)가 마련되고, 기판(210) 상에 패터닝된 비임을 투사하기 위한 하나 이상의 축소 투사 광학계(reduction projection optics; 205d, 205e)가 또한 마련된다. 기판(210)과 패터닝 광학계(205c) 사이의 제어된 상대 운동을 생성하기 위한 기계적 조립체(도시되어 있지 않음)가 마련될 수 있다. 도 2에 추가로 도시된 바와 같이, EUVL 툴은, 기판(210)을 방사선 처리하기 위해 노광 디바이스(200) 내로 소정 경로를 따라 콜렉터(110)에 의해 반사되는 EUV 광을 챔버(105) 내에 방출하는 EUV 광 방사기(ZE)를 포함하는 EUV 광원(100)을 포함한다.
본원에서 사용될 때, 용어 "광학계"는, 이들로 반드시 한정하는 것은 아니지만, 입사광을 반사시키고 및/또는 전달하고 및/또는 조작하는 하나 이상의 구성요소를 포함하도록 광의로 이해되게 의도되며, 또한, 이들로 한정하는 것은 아니지만, 하나 이상의 렌즈, 윈도우, 필터, 웨지(wedge), 프리즘, 그리즘(grism), 격자(grating), 전달 필터, 에탈론(etalon), 디퓨저, 균질화기, 디텍터, 그리고 다른 장비 구성요소, 어퍼쳐(aperture), 액시콘(axicon), 그리고 다층 미러, 근사 수직 입사 미러, 그레이징 입사 미러(grazing incidence mirror), 정반사기, 확산 반사기와 이들의 조합을 포함하는 미러를 포함한다. 더욱이, 달리 특정하지 않으면, 본원에서 사용될 때 용어 "광학계"는 하나 이상의 특정 파장 범위(들), 예컨대 EUV 출력광 파장, 조사 레이저 파장, 계량학에 적합한 파장, 또는 임의의 다른 특정 파장 내에서 유리하거나 단독으로 작동하는 구성요소로 한정되게 의도되지도 않는다.
가스 모듈이 EUV 광을 흡수하기 때문에, EUV 리소그래피 패터닝을 위한 리소그래피 시스템은 EUV 강도 손실을 방지하기 위해 진공 환경 또는 저압 환경에서 유지된다.
본 개시내용에 있어서, 용어 마스크, 포토마스크 및 레티클(reticle)은 상호 교환적으로 사용된다. 본 실시예에 있어서, 도 3에 도시된 패터닝 광학계(205c)는 반사식 포토마스크이다. 일 실시예에 있어서, 반사식 레티클(205c)은, 도 3에 도시된 바와 같이, 열 팽창이 적은 재료 또는 용융 수정과 같은 적절한 재료를 갖춘 기판(30)을 포함한다. 다양한 예에 있어서, 상기 재료는 TiO2로 도핑된 SiO2 또는 열 팽창이 적은 다른 적절한 재료를 포함한다. 일부 실시예에 있어서, 열 팽창이 적은 유리 기판은 가시 파장, 가시 스펙트럼에 근사한 적외선 파장의 일부(근적외선 파장), 그리고 일부 자외선 파장에서 광을 전달한다. 일부 실시예에 있어서, 열 팽창이 작은 유리 기판은 EUV 파장을 흡수하고 EUV에 가까운 DUV 파장을 흡수한다.
반사식 레티클(205c)은 기판 상에 성막되는 다수의 반사층(35)을 포함한다. 다수의 반사층(35)은 복수 개의 필름 쌍, 예컨대 몰리브덴-실리콘(Mo/Si) 필름 쌍[예컨대, 각각의 필름 쌍에서 실리콘의 층(37) 위에 또는 아래에 있는 몰리브덴의 층(39)]을 포함한다. 대안으로, 다수의 반사층(35)은 몰리브덴-베릴륨(Mo/Be) 필름 쌍 또는 EUV 광을 고도로 반사시키도록 구성되는 다른 적합한 재료를 포함할 수 있다. 일부 실시예에 있어서, Mo/Si 다층 스택(35; Mo/Si multilayer stack)은 실리콘 및 몰리브덴 각각의 교호하는 대략 30 개의 층 내지 실리콘 및 몰리브덴 각각의 교호하는 대략 60 개의 층을 포함한다. 일부 실시예에서는, 실리콘 및 몰리브덴 각각의 교호하는 대략 35 개 내지 대략 50 개의 층이 형성된다. 특정 실시예에서는, 실리콘 및 몰리브덴 각각의 교호하는 대략 40 개의 층이 존재한다. 일부 실시예에 있어서, 실리콘 층 및 몰리브덴 층은 화학적 기상 성막(CVD), 플라즈마 강화 CVD(PECVD), 원자 층 성막(ALD), 물리적 기상 성막(PVD)(스퍼터링), 또는 임의의 다른 적절한 필름 형성 방법에 의해 형성된다. 실리콘 및 몰리브덴 각각의 층은 약 2 nm 내지 약 10 nm의 두께이다. 일부 실시예에 있어서, 실리콘의 층 및 몰리브덴의 층은 대략 동일한 두께이다. 다른 실시예에 있어서, 실리콘의 층 및 몰리브덴의 층은 상이한 두께이다. 일부 실시예에 있어서, 실리콘 및 몰리브덴 각각의 층의 두께는 약 3 nm 내지 약 4 nm이다.
마스크(205c)는, 캡핑 층(40; capping layer), 예컨대 다층부(35)의 보호를 위해 루테늄(Ru)으로 제조된 층을 더 포함할 수 있다. 캡핑 층(40)은 Mo/Si 다층부(35) 위에 배치된다. 일부 실시예에 있어서, 캡핑 층(40)은 약 2 nm 내지 약 10 nm의 두께를 갖도록 루테늄으로 제조된다. 특정 실시예에 있어서, 캡핑 층(40)의 두께는 약 2 nm 내지 약 4 nm이다. 일부 실시예에 있어서, 캡핑 층(40)은 화학적 기상 성막, 플라즈마 강화식 화학적 기상 성막, 원자 층 성막, 물리적 기상 성막, 또는 임의의 다른 적절한 필름 형성 방법에 의해 형성된다.
상기 마스크는 흡수기 층[흡수 층(absorber layer)](45)을 더 포함한다. 흡수 층(45)은 일부 실시예에 있어서 캡핑 층(40) 위에 배치된다. 흡수기 층(45)은 패터닝되어 집적 회로(IC)의 층을 형성한다. 일부 실시예에 있어서, 흡수 층(45)은 Ta계 재료로 되어 있다. 일부 실시예에 있어서, 상기 흡수 층은 약 25 nm 내지 약 100 nm의 두께를 갖도록 TaN, TaO, TaBN, 또는 TaBO로 제조된다. 특정 실시예에 있어서, 흡수 층(45)의 두께는 약 50 nm 내지 약 75 nm의 범위이다. 일부 실시예에 있어서, 흡수 층(45)은 화학적 기상 성막, 플라즈마 강화식 화학적 기상 성막, 원자 층 성막, 물리적 기상 성막, 또는 임의의 다른 적절한 필름 형성 방법에 의해 형성된다.
일부 실시예에 있어서, 반사 방지 층(도시되어 있지 않음)이 선택적으로 흡수 층(45) 위에 형성된다. 상기 반사 방지 층은 일부 실시예에 있어서 실리콘 산화물로 제조되며, 약 2 nm 내지 약 10 nm의 두께를 갖는다. 일부 실시예에 있어서, 상기 반사 방지 층의 두께는 약 3 nm 내지 약 6 nm이다. 일부 실시예에 있어서, 상기 반사 방지 층은 화학적 기상 성막, 플라즈마 강화식 화학적 기상 성막, 원자 층 성막, 물리적 기상 성막, 또는 임의의 다른 적절한 필름 형성 방법에 의해 형성된다.
EUV 마스크는 매우 작은 표면 조도를 필요로 하며, 분석 가능한 결함을 절대로 가져서는 안 된다.
반사식 마스크(205c)는 일부 실시예에 있어서 이면측 전도성 층(60)을 포함한다. 일부 실시예에 있어서, 이면측 전도성 층(60)은, Mo/Si 다층부(35)가 그 위에 형성되는 기판(30)의 제1 주요 면에 반대되는 기판(30)의 제2 주요 면 상에 형성된다. 일부 실시예에 있어서, 이면측 전도성 층(60)은 약 25 nm 내지 약 150 nm의 두께를 갖도록 크롬, 크롬 질화물, 또는 TaB로 제조된다. 일부 실시예에 있어서, 이면측 전도성 층(60)은 약 70 nm 내지 약 100 nm의 두께를 갖는다. 일부 실시예에 있어서, 이면측 전도성 층(60)은 화학적 기상 성막, 플라즈마 강화식 화학적 기상 성막, 원자 층 성막, 물리적 기상 성막, 또는 임의의 다른 적절한 필름 형성 방법에 의해 형성된다.
일부 실시예에 있어서, 반사식 마스크(205c)는, 이미징되는 회로 영역 및 이미징되지 않는 둘레 영역을 형성하기 위해, 블랙 보더(65; black border)로서 또한 알려져 있는, 패턴(55)을 둘러싸면서 기판(30)에 대해 에칭되는 경계(65)를 포함한다. 상기 블랙 보더는 일부 실시예에 있어서 광 누출을 감소시킨다.
본 개시내용의 다양한 실시예에 있어서, 포토레지스트로 코팅된 기판(210)은 패터닝할 반도체 웨이퍼, 예컨대 실리콘 웨이퍼 또는 다른 유형의 웨이퍼이다.
EUVL 툴은 일부 실시예에서는 다른 모듈을 더 포함하거나, 또는 다른 모듈과 함께 통합(또는 결합)된다.
도 1에 도시된 바와 같이, EUV 방사선 소스(100)는, 챔버(105)에 의해 에워싸인, LPP 수집기(110) 및 타겟 액적 발생기(115)를 포함한다. 일부 실시예에 있어서, 타겟 액적 발생기(115)는 소스 재료 및 노즐(120)을 유지하기 위한 저장소를 포함하며, 상기 노즐을 통해 소스 재료의 타겟 액적(DP)이 챔버(105) 내로 공급된다.
일부 실시예에 있어서, 금속 타겟 액적(DP)은 주석(Sn), 리튬(Li), 또는 주석과 리튬의 합금의 액적이다. 일부 실시예에 있어서, 금속 타겟 액적(DP)은 각각 약 10 미크론(㎛) 내지 약 100 미크론(㎛)의 범위에 속하는 직경을 갖는다. 예를 들면, 일 실시예에 있어서, 금속 타겟 액적(DP)은 약 10 미크론 내지 약 100 미크론의 직경을 갖는 주석 액적이다. 다른 실시예에 있어서, 금속 타겟 액적(DP)은 약 25 미크론 내지 약 50 미크론의 직경을 갖는 주석 액적이다. 일부 실시예에 있어서, 금속 타겟 액적(DP)은 노즐(120)을 통해 초당 대략 50개의 액적(즉, 대략 50 Hz의 분출 주파수) 내지 초당 대략 50,000 개의 액적(즉, 약 50 kHz의 분출 주파수)의 범위에 속하는 속도로 공급된다. 일부 실시예에 있어서, 금속 타겟 액적(DP)은 약 100 Hz 내지 약 25 kHz의 분출 주파수로 공급된다. 다른 실시예에 있어서, 금속 타겟 액적(DP)은 약 500 Hz 내지 약 10 kHz의 분출 주파수로 공급된다. 금속 타겟 액적(DP)은 일부 실시예에 있어서 노즐(127)을 통해 그리고 여기 영역(ZE) 내로 대략 초당 10 미터(m/s) 내지 약 100 m/s의 범위에 속하는 속도로 분출된다. 일부 실시예에 있어서, 금속 타겟 액적(DP)은 약 10 m/s 내지 약 75 m/s의 속도를 갖는다. 다른 실시예에 있어서, 금속 타겟 액적은 약 25 m/s 내지 약 50 m/s의 속도를 갖는다.
다시 도 1을 참고하면, 여기 레이저 소스(300)에 의해 발생되는 여기 레이저(LR2)는 펄스 레이저이다. 레이저 펄스(LR2)는 여기 레이저 소스(300)에 의해 발생된다. 여기 레이저 소스(300)는 레이저 발생기(310), 레이저 안내용 광학계(320), 및 집속 장치(330)를 포함할 수도 있다. 일부 실시예에 있어서, 레이저 소스(300)는 전자기 스펙트럼의 적외선 영역에서의 파장을 갖는, 이산화탄소(CO2) 또는 니오븀-도핑된 이트리움 알루미늄 가넷(Nd:YAG) 레이저 소스를 포함한다. 예를 들면, 레이저 소스(300)는 일 실시예에 있어서 9.4 ㎛ 또는 10.6 ㎛의 파장을 갖는다. 레이저 발생기(310)에 의해 발생되는 레이저 광(LR1)은 레이저 안내용 광학계(320)에 의해 안내되고, 집속 장치(330)에 의해 여기 레이저(LR2)로 집속되며 이후 EUV 방사선 소스(100) 내로 도입된다.
일부 실시예에 있어서, 여기 레이저(LR2)는 예열 레이저(pre-heat laser) 및 메인 레이저(main laser)를 포함한다. 이러한 실시예에 있어서, 예열 레이저 펄스[본원에서는 상호교환적으로 "프리 펄스(pre-pulse)라고 함]는 주어진 타겟 액적을 가열(또는 예열)하여, 다수의 더 작은 액적을 갖는 저밀도 타겟 플럼(target pl㎛e)을 생성하는 데 사용되며, 이 타겟 플럼은 후속하여 메인 레이저로부터의 펄스에 의해 가열(또는 재가열)되어 EUV 광의 방출 증가를 발생시킨다.
다양한 실시예에 있어서, 예열 레이저 펄스는 약 100 ㎛ 이하의 스팟 크기(spot size)를 가지며, 메인 레이저 펄스는 약 150 ㎛ 내지 약 300 ㎛의 범위에 속하는 스팟 크기를 갖는다. 일부 실시예에 있어서, 예열 레이저 펄스 및 메인 레이저 펄스는, 약 10 ns 내지 약 50 ns의 범위에 속하는 펄스 유지시간을 가지며, 약 1 kHz 내지 약 100 kHz의 범위에 속하는 펄스 주파수를 갖는다. 다양한 실시예에 있어서, 예열 레이저 및 메인 레이저는 약 1 킬로와트(kW) 내지 약 50 kW의 범위에 속하는 평균 파워를 갖는다. 여기 레이저(LR2)의 펄스 주파수는 일 실시예에 있어서 금속 타겟 액적(DP)의 분출 주파수와 매칭된다.
레이저 광(LR2)은 윈도우(또는 렌즈)를 통해 여기 영역(ZE) 내로 지향된다. 상기 윈도우는 레이저 비임에 실질적으로 투명한 적합한 재료를 이용한다. 펄스 레이저의 발생은, 노즐(120)을 통한 금속 타겟 액적(DP)의 분출과 동기화된다. 금속 타겟 액적이 여기 영역을 통해 이동할 때, 프리 펄스는 금속 타겟 액적을 가열하며, 상기 금속 타겟 액적을 저밀도 타겟 플럼으로 변형시킨다. 프리 펄스와 메인 펄스 사이의 지연(delay)은, 타겟 플럼이 최적의 크기 및 기하학적 형상으로 형성 및 팽창되는 것을 허용하도록 제어된다. 다양한 실시예에 있어서, 프리 펄스 및 메인 펄스는 동일한 펄스 유지시간 및 피크 파워(peak power)를 갖는다. 메인 펄스가 타겟 플럼을 가열할 때, 고온 플라즈마가 발생된다. 이 고온 플라즈마는, 콜렉터 미러(110; collector mirror)에 의해 수집되는 EUV 방사선(EUV)을 방출한다. 수집기(110)는 또한 노광 디바이스(200)를 통해 행해지는 리소그래피 노광 프로세스를 위한 EUV 방사선을 반사 및 집속시킨다. 액적 포획기는 과잉 타겟 액적을 포획하는 데 사용된다. 예를 들면, 일부 타겟 액적은 의도적으로 레이저 펄스를 지나치게 될 수도 있다.
다시 도 1을 참고하면, 수집기(110)는 EUV의 수집, 반사 및 집속을 위한 미러로서 기능하도록 적절한 코팅 재료 및 형상을 갖게 구성된다. 일부 실시예에 있어서, 수집기(110)는 타원형의 기하학적 형상을 갖도록 구성된다. 일부 실시예에 있어서, 수집기(110)의 코팅 재료는 EUV 마스크의 반사식 다층부와 유사하다. 일부 예에 있어서, 수집기(110)의 코팅 재료는 제1 반사 층 및 제2 반사 층의 교호식 스택(예컨대, 복수 개의 Mo/Si 필름 쌍)을 포함하며, 또한 EUV 광을 실질적으로 반사시키기 위해 ML 상에 코팅되는 캡핑 층(예컨대, Ru)을 포함할 수도 있다. 일부 실시예에 있어서, 수집기(110)는 수집기(110) 상으로 지향되는 레이저 비임을 효과적으로 분산시키도록 구성되는 격자 구조를 더 포함할 수도 있다. 예를 들어, 실리콘 질화물 층이 수집기(110) 상에 코팅되며, 격자 구조를 나타내도록 패터닝된다.
이러한 EUV 방사선 소스에 있어서, 레이저 인가에 의해 유발되는 플라즈마는 원하는 EUV 방사선뿐만 아니라 물리적 찌거기, 예컨대 이온, 가스, 액적의 분무를 생성한다. 수집기(110) 상에서의 재료의 축적을 방지하는 것이 필요하며, 또한 물리적 찌거기가 챔버(105)를 빠져나와 노광 디바이스(200)에 진입하는 것을 방지하는 것이 필요하다.
도 1에 도시된 바와 같이, 본 실시예에 있어서, 버퍼 가스는 제1 버퍼 가스 공급부(130)로부터 수집기(110)에 있는 구멍을 통해 공급되며, 이에 따라 펄스 레이저가 주석 액적에 전달된다. 일부 실시예에 있어서, 버퍼 가스는 H2, He, Ar, N2 또는 다른 불활성 가스이다. 특정 실시예에 있어서, 버퍼 가스의 이온화에 의해 발생되는 H 라디칼로서 사용되는 H2는 세정 목적으로 사용될 수 있다. 상기 버퍼 가스는 또한 하나 이상의 제2 버퍼 가스 공급부를 통해 수집기(110)를 향하여 및/또는 수집기(110)의 에지 주위에서 공급될 수 있다. 또한, 챔버(105)는 하나 이상의 가스 출구(140)를 포함하며, 이에 따라 버퍼 가스는 챔버(105) 외부로 배기된다.
수소 가스는 EUV 방사선에 대한 낮은 흡수를 나타낸다. 수집기(110)의 코팅면에 도달하는 수소 가스는 액적의 금속과 화학적으로 반응하여 수소화물, 예컨대 금속 수소화물을 형성한다. 주석(Sn)이 액적으로서 사용될 때, EUV 발생 과정의 가스 부산물인 사수소화주석(SnH4)이 형성된다. 가스인 SnH4는 이후 출구(140)를 통해 외부로 펌핑된다.
도 4a 내지 도 4h는 EUVL에서 사용하기 위한 EUV 포토마스크(205c)를 제작 및 세정하는 방법을 개략적으로 제시한 것이다. EUVL은 약 1 nm 내지 약 100 nm의 파장을 갖는, EUV 영역에서의 광을 이용하는 스캐너를 사용한다. 상기 마스크는 EUVL 시스템의 중요한 구성요소이다. EUV 마스크는 보통 반사식 마스크이다.
포토마스크(205c)는 포토마스크 블랭크(20; photomask blank)로부터 형성되며, 포토마스크 블랭크는 기판(30), 다층부(35), 캡핑 층(40), 흡수 층(45), 및 전도성 층(60)을 포함한다. 도 4a에 도시된 바와 같이, 일부 실시예에 있어서는 하드 마스크 층(50)이 흡수 층(40) 위에 형성된다. 하드 마스크 층(50)이 일부 실시예에 있어서 반사 방지 층 위에 형성된다. 일부 실시예에 있어서, 하드 마스크 층(50)은 약 4 nm 내지 약 20 nm의 두께를 갖도록 실리콘, 실리콘계 화합물, 크롬, 또는 크롬계 화합물로 제조된다. 일부 실시예에 있어서, 상기 크롬계 화합물은 CrON을 포함한다. 일부 실시예에 있어서, 하드 마스크 층(50)은 화학적 기상 성막, 플라즈마 강화식 화학적 기상 성막, 원자 층 성막, 물리적 기상 성막, 또는 임의의 다른 적절한 필름 형성 방법에 의해 형성된다.
포토레지스트 층(75)이 후속하여 하드 마스크 층(50) 위에 형성되며, 포토레지스트 층(75)은 화학작용을 하는 방사선에 선택적으로 노광된다. 포토레지스트 층(75)은, 화학작용을 하는 방사선에 대한 노광에 의해 패터닝되는 감광성 층이다. 통상적으로, 입사하는 방사선과 충돌하는 포토레지스트 영역의 화학적 물성은, 사용되는 포토레지스트의 유형에 따라 좌우되는 방식으로 변하게 된다. 포토레지스트 층(75)은 포지티브 톤 레지스트(positive tone resist) 또는 네가티브 톤 레지스트(negative tone resist)이다. 포지티브 톤 레지스트는, 방사선(통상 UV 광)에 노광될 때 현상액 내에서 용해 가능하게 되는 반면 노광되지 않은(또는 덜 노광된) 포토레지스트의 영역은 현상액 내에서 용해 가능하지 않은 것인 포토레지스트 재료를 가리킨다. 다른 한편으로, 네가티브 톤 레지스트는, 통상적으로, 방사선에 노광될 때 현상액 내에서 용해 가능하지 않게 되는 반면 노광되지 않은(또는 덜 노광된) 포토레지스트의 영역은 현상액 내에서 용해 가능한 것인 포토레지스트 재료를 가리킨다. 방사선에 대한 노광 시에 용해 가능하게 되지 않는 네가티브 톤 레지스트의 영역은, 방사선에 대한 노광에 의해 유발되는 가교 결합 반응으로 인해, 용해 가능하게 되지 않을 수도 있다.
선택적으로 노광되는 포토레지스트 층(75)은 현상되어 포토레지스트 층(75)에 패턴(55')을 형성한다. 일부 실시예에 있어서, 화학작용을 하는 방사선은 전자 비임 또는 이온 비임이다. 일부 실시예에 있어서, 패턴(55')은 반도체 디바이스 특징부의 패턴에 대응하는데, 디바이스 특징부의 패턴을 형성하기 위해 후속 작업에서 포토마스크(205c)가 사용된다. 레지스트가 포지티브 톤인지 또는 네가티브 톤인지 여부는 레지스트를 현상하는 데 사용되는 현상액의 유형에 따라 좌우될 수도 있다. 예를 들면, 일부 포지티브 톤 포토레지스트는, 현상액이 수용액 계통의 현상액, 예컨대 TMAH(tetramethylammoni㎛ hydroxide) 용액일 때, 포지티브 패턴(즉, 노광된 영역이 현상액에 의해 제거됨)을 제공한다. 다른 한편으로, 동일한 포토레지스트는, 현상액이 유기 용매일 때 네가티브 패턴(즉, 노광되지 않은 영역이 현상액에 의해 제거됨)을 제공한다. 또한, TMAH 용액으로 현상되는 일부 네거티브 톤 포토레지스트에 있어서, 포토레지스트의 노광되지 않은 영역은 TMAH에 의해 제거되며, 화학작용을 하는 방사선에 대한 노광 시에 가교 결합을 거치게 되는, 포토레지스트의 노광된 영역은 현상 이후에 기판 상에 남아있게 된다.
다음으로, 포토레지스트 층(75)에서의 패턴(55')은, 도 4b에 도시된 바와 같이, 하드 마스크 층(50) 내로 연장되어 하드 마스크 층(50)에 패턴(55")을 형성하여 흡수 층(45)의 일부를 노출시킨다. 하드 마스크 층(50) 내로 연장되는 패턴(55")은, 일부 실시예에 있어서, 하드 마스크 층(50)에 대해 선택적인 적절한 습식 에칭제 또는 건식 에칭제를 이용하여 에칭함으로써 형성된다.
이후, 하드 마스크 층(50)에서의 패턴(55")은, 도 4c에 도시된 바와 같이, 흡수 층(45) 내로 연장되어 흡수 층(45)에 패턴(55"')을 형성하여 캡핑 층(40)의 일부를 노출시킨다. 흡수 층(45) 내로 연장되는 패턴(55"')은, 일부 실시예에 있어서, 흡수 층(45)에 대해 선택적인 적절한 습식 에칭제 또는 건식 에칭제를 이용하여 에칭함으로써 형성된다. 일부 실시예에 있어서, 캡핑 층(40)은 에칭 중단 층으로서 기능한다. 포토레지스트 층(75)은, 하드 마스크 층(50)의 상위 표면을 노출시키기 위해 적절한 포토레지스트 스트립퍼(photoresist stripper) 또는 산소 플라즈마 애싱 작업(oxygen plasma ashing operation)에 의해 제거된다.
도 4d에 도시된 바와 같이, 제2 포토레지스트 층(85)이 흡수 층(45) 위에 형성되어, 하드 마스크 층(50)의 제거 이후에 흡수 층에 패턴(55)을 형성한다. 상기 하드 마스크 층은 이 하드 마스크 층에 대해 선택적인 에칭제를 이용하는 에칭에 의해 제거된다. 제2 포토레지스트 층(85)은 화학작용을 하는 방사선에 대해 선택적으로 노광된다. 선택적으로 노광되는 제2 포토레지스트 층(85)은 현상되어 도 4d에 도시된 바와 같이 제2 포토레지스트 층(85)에 패턴(65')을 형성한다.
다음으로, 제2 포토레지스트 층(85)에서의 패턴(65')은, 도 4e에 도시된 바와 같이, 흡수 층(45), 캡핑 층(40) 그리고 Mo/Si 다층부(35) 내로 연장되어 흡수 층(45), 캡핑 층(40) 및 Mo/Si 다층부(35)에 패턴(65")을 형성하여 기판(30)의 일부를 노출시킨다. 패턴(65")은, 일부 실시예에 있어서, 에칭될 층들 각각에 대해 선택적인 하나 이상의 적절한 습식 에칭제 또는 건식 에칭제를 이용하여 에칭함으로써 형성된다.
일부 실시예에 있어서, 제2 포토레지스트 층(85)은, 흡수 층(45)의 상위 표면을 노출시키기 위해 적절한 포토레지스트 스트립퍼 또는 산소 플라즈마 애싱 작업에 의해 제거된다. 흡수 층(45), 캡핑 층(40), 및 Mo/Si 다층부(35)에서의 패턴(65)은, 본 개시내용의 일부 실시예에 있어서, 도 4f에 도시된 바와 같이, 포토마스크(205c)의 블랙 보더를 형성한다. 제2 포토레지스트 층의 제거 이후에, 포토마스크(205c)는 세정 작업, 검사를 거치게 되며, 포토마스크(205c)는 마무리된 포토마스크(205c)를 마련하기 위해 필요에 따라 수선된다. 일부 실시예에서는, 블랙 보더(65)가 우선 형성되며, 이후 반도체 디바이스 패턴(55)이 형성된다.
포토마스크를 제작하는 동안, 또는 포토레지스트로 코팅된 기판을 포토마스크를 이용하여 EUV 노광하는 것을 비롯하여 마무리된 포토마스크(205c)를 이용하는 후속 처리 중에, 탄화수소 오염물(95)을 비롯한 탄소계 잔류물이 흡수 층에서의 패턴(55) 상에 형성된다. 상기 오염물은, 포토레지스트의 부분적인 분해 및 휘발을 유발하는, EUV 노광 중에 생성되는 열에 의해 생성될 수 있다. 분해 및 휘발된 잔류물은 포토마스크를 오염시킬 수 있다. 추가적으로, 장기간의 마스크 보관 중에, 마스크는 반 데르 발스 힘에 의해 마스크에 부착되게 되는 입자 및 잔류물에 의해 오염될 수 있다. 탄화수소 오염물(95)은, 근접도의 드리프트 및 주요 치수 균일도의 드리프트 및 화이트 스팟 결함을 비롯한, 포토마스크(205c)의 성능에 악영향을 줄 수 있다. 마스크 패턴(55) 위에 있는 탄소 잔류물의 두께는 증가되어 마스크 패턴의 치수를 변화시키고, 이에 따라 후속하여 포토레지스트 층에 형성되는 패턴의 주요 치수의 변화를 유발한다. 따라서, 오랜 사용 또는 보관 이후에, 포토마스크(205c)의 성능은 저하된다.
포토마스크(205c)의 성능을 복구하기 위해 그리고 포토마스크(205c)에 의해 형성되는 패턴의 근접도 및 주요 치수를 유지하기 위해, 본 개시내용의 일부 실시예에서는 포토마스크(205c)의 주기적인 유지보수가 행해진다. 예를 들어, 도 4h에 도시된 바와 같이, 탄소계 잔류물(즉, 탄화수소)의 제거 작업이 행해진다. 일부 실시예에 있어서, 플라즈마 에칭을 비롯한 건식 에칭[클린 플래시(clean-flash) 또는 "c-플래시) 작업]이 포토마스크 상에서 행해진다. 일부 실시예에 있어서, 산소 플라즈마 또는 수소 플라즈마는, 탄소-잔류 오염물의 제거를 위해 탄소-잔류물로 오염된 포토마스크에 적용된다. 일부 실시예에서는, 산소 플라즈마가 오염된 포토마스크에 적용되며, 다음 반응, 즉 CmHn + O → H2O + CO2 (또는 불완전한 산화의 경우 CO)에 따라 탄화수소가 제거된다. 다른 실시예에서는, 수소 플라즈마가 오염된 포토마스크에 적용되며, 다음 반응, 즉 CmHn + H → CH4 + H2에 따라 탄화수소가 제거된다. 산소 플라즈마 실시예 및 수소 플라즈마 실시예 양자 모두에 있어서, 반응 생성물은 플라즈마 반응 챔버로부터 소개되는 가스이다.
도 5는 본 개시내용의 실시예에 따라 포토마스크로부터 오염물을 제거하는 방법(400)을 제시하는 흐름도이다. 작업 S410에 있어서, 포토레지스트로 코팅된 기판은 반사식 포토마스크(205c)에서 반사되는, 화학작용을 하는 방사선에 노광된다. 작업 S420에 있어서, 반사식 포토마스크(205c)는, 포토리소그래피 작업에서 반사식 포토마스크를 사용하지 않은 상태로 소정 시간 동안 보관된다. 상기 노광 또는 상기 보관 중에 반사식 포토마스크의 표면 상에 오염물이 형성된다. 작업 S410 또는 작업 S420 이후에, 그 표면 상에 오염물을 갖는 반사식 포토마스크는 작업 S430에서 플라즈마 처리 챔버 내에 배치된다. 오염된 반사식 포토마스크는, 작업 S440에 있어서 상기 표면으로부터 오염물을 제거하기 위해 플라즈마 처리 챔버 내에서 플라즈마 처리(c-flash)된다. 상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함한다. 일부 실시예에 있어서, 단계 S450에서의 플라즈마 처리 중에 플라즈마 처리 챔버 내에 염소가 공급된다. 일부 실시예에 있어서, 단계 S460에서의 플라즈마 처리 중에 플라즈마 처리 챔버 내에 질소가 공급된다. 일부 실시예에 있어서, 단계 S470에서의 플라즈마 처리 중에 플라즈마 처리 챔버 내에 헬륨 또는 아르곤이 공급된다. 일부 실시예에 있어서, 반사식 포토마스크(205c)는, 오염물이 제거되었는지 여부를 결정하기 위해 작업 S480에서 검사를 받는다.
일부 실시예에 있어서, 포토마스크(205c)는 시각적 기법을 이용하여 검사를 받는다. 상기 시각적 검사는 포토마스크의 표면을 이미징하기 위해 TEM(transmission electron microscopy)을 이용하는 것을 포함할 수도 있다. 일부 실시예에서는, 포토마스크 상의 탄소 잔류 오염물의 분포를 맵핑(mapping)하기 위해 TEM과 함께 EDS(energy-dispersive X-ray spectroscopy)가 사용된다. 탄화수소는 적외선 방사선을 흡수하기 때문에, 일부 실시예에 있어서, 포토마스크의 표면을 검사하기 위해 적외선 분석 기법이 이용된다. 일부 실시예에 있어서, 주요 치수 균일도의 드리프트, 근접도의 드리프트, 또는 화이트 스팟 결함은, 포토레지스트로 코팅된 기판 상에 형성된 패턴에서 모니터링되며, 주요 치수 균일도의 드리프트, 근접도의 드리프트, 또는 화이트 스팟 결함의 개수가 문턱값을 초과하면, 해당 포토마스크는 본원에서 설명되는 플라즈마 오염물 제거 처리(c-flash)를 거치게 된다.
일부 실시예에 있어서, 본 개시내용에 따른 플라즈마 처리(c-flash)를 이용한 포토마스크의 표면으로부터의 오염물 제거는, 해당 포토마스크를 이용하여, 화학작용을 하는 방사선에 대해 약 100회 내지 약 2500회 이상, 포토레지스트로 코팅된 기판을 노광시킨 이후에[포토레지스트로 코팅된 기판에 대한 샷(shot)을 행한 이후에] 행해진다. 일부 실시예에 있어서, 본 개시내용에 따른 플라즈마 처리를 이용한 오염물 제거는, 해당 포토마스크를 이용하여, 약 2000회 이상, 포토레지스트로 코팅된 기판을 노광시킨 이후에[포토레지스트로 코팅된 기판에 대한 샷(shot)을 행한 이후에] 행해진다. 일부 실시예에 있어서, 이러한 오염물 제거는, 해당 포토마스크를 이용하여, 약 1000회 이상, 포토레지스트로 코팅된 기판을 노광시킨 이후에[포토레지스트로 코팅된 기판에 대한 샷(shot)을 행한 이후에] 행해진다.
일부 실시예에 있어서, 근접도의 바이어스 드리프트(bias drift) 또는 주요 치수 균일도의 드리프트, 또는 화이트 스팟 결함이 모니터링되며, 본 개시내용에 따른 플라즈마 처리를 이용한 오염물 제거는, 균일도의 바이어스 드리프트, 주요 치수 균일도의 드리프트, 또는 화이트 스팟 결함이 특정한 문턱값을 초과할 때 행해진다.
도 6a 내지 도 6i는 포토마스크(205c)를 제조 및 세정하는 방법을 개략적으로 제시한 것이다. 포토마스크의 제조에 관한 도 6a 내지 도 6f에서의 작업은 도 4a 내지 도 4f에서의 작업과 동일하다. 흡수 층에서의 패턴 층(55)의 오염물은 포토마스크 팟(90; photomask pod)에서의 포토마스크의 보관 중에 발생한다. 장기간의 포토마스크 보관 중에, 포토마스크는 반 데르 발스 힘에 의해 포토마스크에 부착되게 되는 입자 및 잔류물에 의해 오염될 수 있다. 이러한 실시예에 있어서, 도 6h에 도시된 오염물(95)은, 보관(도 6g) 중에 포토마스크의 표면에 부착된 것으로서, 또한 도 4g에서 설명한 바와 같이 탄소계 잔류물(즉, 탄화수소)이다. 따라서, 이러한 오염물은 도 4h를 참고로 언급된 바와 동일한 방식으로 도 6i에서 제거된다. 일부 실시예에 있어서, 포토마스크(205c)는, 본 개시내용에 따른 플라즈마 오염물 제거 작업 이전에 30일 넘게 포토마스크 팟(90)에서 보관된다. 일부 실시예에 있어서, 포토마스크(205c)는 본 개시내용에 따른 플라즈마 오염물 제거 작업 이전에 포토마스크 팟(90)에서 약 30일 내지 약 180일 동안 보관된다.
도 7은 포토마스크(205c)를 형성하는 작업 S510을 포함하는 방법(500)을 제시하는 흐름도이다. 포토마스크(205c)는 작업 S520에서 포토마스크 팟(90)에 보관된다. 일부 실시예에 있어서, 포토마스크는 포토마스크 팟(90)에서 약 30일 이상 보관된다. 포토마스크 팟(90)으로부터 포토마스크(205c)를 제거한 이후에, 포토마스크(205c)는, 포토마스크(205c)의 표면으로부터 오염물을 제거하기 위해 작업 S530에 있어서 플라즈마 처리 챔버에서 플라즈마 처리된다. 상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함한다. 일부 실시예에 있어서, 단계 S540에서의 플라즈마 처리 중에 플라즈마 처리 챔버 내에 염소가 공급된다. 일부 실시예에 있어서, 단계 S550에서의 플라즈마 처리 중에 플라즈마 처리 챔버 내에 질소가 공급된다. 일부 실시예에 있어서, 단계 S560에서의 플라즈마 처리 중에 플라즈마 처리 챔버 내에 헬륨 또는 아르곤이 공급된다.
일부 실시예에 있어서, 탄소계 잔류물의 플라즈마 제거 중에, 플라즈마 소스의 소스 파워(source power)는 실시예 1 내지 7에 대해 약 100 W 내지 약 1000 W의 범위이다. 바이어스 파워(bias power)는 약 0 W이다. 플라즈마 처리 챔버에서의 압력은 약 1 mtorr 내지 약 5 mtorr의 범위이다. 플라즈마 처리 챔버에서의 산소의 유량은 약 0 sccm 내지 약 100 sccm의 범위이다. 플라즈마 처리 챔버에서의 수소의 유량은 약 0 sccm 내지 약 300 sccm의 범위이다. 질소의 유량은 약 0 sccm 내지 약 50 sccm의 범위이다. 염소는 약 20 sccm 내지 약 100 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. He 또는 Ar은 약 0 sccm 내지 약 300 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 플라즈마는 약 5 초 내지 약 100 초의 유지시간 동안, 오염된 포토마스크에 적용된다. 일부 실시예에 있어서, 플라즈마 처리 챔버에 공급되는 파워는 RF 파워, 예컨대 13.6 kHz의 RF 파워이다.
일부 실시예에 있어서, 챔버에 인가되는 소스 파워는 대략 200 W 내지 대략 800 W의 범위이다. 일부 실시예에 있어서, 챔버에 인가되는 소스 파워는 대략 400 W 내지 대략 600 W의 범위이다. 일부 실시예에 있어서, 산소는 약 10 sccm 내지 약 100 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 산소는 약 20 sccm 내지 약 80 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 수소는 약 20 sccm 내지 약 100 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 수소는 약 30 sccm 내지 약 80 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 질소는 약 10 sccm 내지 약 50 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 질소는 약 20 sccm 내지 약 40 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 염소는 약 20 sccm 내지 약 100 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 염소는 약 40 sccm 내지 약 80 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 헬륨 또는 아르곤은 약 60 sccm 내지 약 300 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 헬륨 또는 아르곤은 약 100 sccm 내지 약 250 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 대략 20 초 내지 대략 80 초 동안 포토마스크에 플라즈마가 적용된다. 일부 실시예에 있어서, 플라즈마는 약 30 초 내지 약 70 초 동안 포토마스크에 적용된다.
일부 실시예에 있어서, 산소 가스 및 염소 가스만이 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 산소 가스 및 질소 가스만이 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 산소 가스 및 헬륨 가스만이 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 산소 가스 및 아르곤 가스만이 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 수소 가스만이 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 수소 가스 및 헬륨 가스만이 플라즈마 처리 챔버에 공급된다. 일부 실시예에 있어서, 수소 가스 및 아르곤 가스만이 플라즈마 처리 챔버에 공급된다.
일부 실시예에 있어서, 산소는 수소보다 높은 탄소 잔류물 제거율을 나타내며, 이는 아르곤 및 헬륨보다 더 높은 탄소 제거율을 나타낸다. 그러나, 해당 가스의 탄소 제거율이 높을수록, 또한, c-플래시 세정 처리 시간이 적절하게 모니터링되지 않으면, Ru 캡핑 층 손상을 초래할 수 있다. 일단 탄소 잔류물이 제거되면, 마스크에 대한 손상을 피하기 위해 플라즈마는 턴 오프(turn off)되어야만 한다.
도 8은 본 개시내용의 실시예에 따른 방법(600)을 제시하는 흐름도이다. 작업 S610에 있어서, 포토마스크(205c)가 형성된다. 일부 실시예에 있어서, 포토마스크를 형성하는 단계는, 기판 위에 다층부, 캡핑 층, 및 흡수 층을 형성하고 이후 마스크 패턴의 형성을 위해 흡수 층을 패터닝하는 것을 포함한다. 포토마스크(205c)는 기판 상에 포토레지스트 패턴을 형성하기 위해 작업 S620에서 포토리소그래피 프로세스에 사용된다. 일부 실시예에 있어서, 포토마스크(205c)는 1000회 이상의 노광[또는 샷(shot)]을 위해 사용된다. 일부 실시예에 있어서, 포토마스크(205c)는 2000회 이상의 노광(또는 샷)을 위해 사용된다. 다수의 노광(또는 샷) 이후에, 포토마스크(205c)는, 포토마스크의 표면으로부터 오염물을 제거하기 위해 작업 S630에 있어서 플라즈마 처리 챔버 또는 플라즈마 세척 챔버에서 플라즈마 처리된다. 상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함한다. 일부 실시예에 있어서, 작업 S640에서의 플라즈마 처리 중에 플라즈마 처리 챔버에 염소가 공급된다. 일부 실시예에 있어서, 작업 S650에서의 플라즈마 처리 중에 플라즈마 처리 챔버에 질소가 공급된다. 일부 실시예에 있어서, 작업 S660에서의 플라즈마 처리 중에 플라즈마 처리 챔버에 헬륨 또는 아르곤이 공급된다.
도 9는 본 개시내용의 실시예에 따른 방법(700)을 제시하는 흐름도이다. 작업 S710에 있어서, 포토레지스트로 코팅된 기판은 반사식 포토마스크(205c)에서 반사되는, 화학작용을 하는 방사선에 노광된다. 다수의 노광(또는 샷) 이후에, 반사식 포토마스크(205c)는 작업 S720에서 챔버 내에, 예컨대 세정 챔버 내에 배치된다. 반사식 포토마스크(205c)는, 포토마스크의 표면으로부터 오염물을 제거하기 위해 작업 S730에 있어서 챔버 내에서 플라즈마에 노출된다. 상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함한다.
도 10은 본 개시내용의 실시예에 따라 화이트 스팟 결함 및 주요 치수 균일도의 드리프트를 완화시키는 방법(800)을 제시하는 흐름도이다. 작업 S810에 있어서, 포토레지스트로 코팅된 기판은 반사식 포토마스크(205c)에서 반사되는, 화학작용을 하는 방사선에 노광된다. 작업 S820에 있어서, 반사식 포토마스크(205c)는, 포토리소그래피 작업에서 반사식 포토마스크를 사용하지 않은 상태로 소정 시간 동안 보관된다. 작업 S810 또는 작업 S820 이후에, 반사식 포토마스크(205c)는 작업 S830에서 챔버 내에 배치된다. 탄소계 잔류 오염물은 작업 S840에서 플라즈마를 이용하여 반사식 포토마스크(205c)의 표면으로부터 제거된다. 일부 실시예에 있어서, 반사식 포토마스크(205c)는, 탄소계 잔류 오염물이 제거되었는지 여부를 결정하기 위해 작업 S850에서 검사를 받는다.
도 11은 본 개시내용의 실시예에 따른 방법(900)을 제시하는 흐름도이다. 작업 S910에서는, 포토마스크(205c)의 표면이 탄소계 잔류물로 오염되어 있는지 여부가 결정된다. 포토마스크(205c)는, 포토마스크(205c)가 탄소계 잔류물로 오염되어 있다고 판단될 때 작업 S920에 있어서 챔버 내에 배치된다. 일부 실시예에 있어서, 포토마스크(205c)는, 탄소 잔류 오염물의 양이 문턱값의 양에 도달할 때 상기 챔버 내에 배치된다. 작업 S930에 있어서, 상기 포토마스크는 탄소계 잔류물의 제거를 위해 플라즈마에 노출된다. 플라즈마에 대한 노출 이후에, 작업 S940에 있어서, 탄소 잔류 오염물이 제거되었는지 여부가 결정된다. 작업 S940에서 탄소 잔류 오염물이 제거되면, 작업 S950에 있어서, 포토레지스트로 코팅된 기판을 극자외선 방사선(extreme ultraviolet radiation)에 노광시키기 위해 일부 실시예에서 포토마스크(205c)가 사용된다. 일부 실시예에 있어서, 상기 포토마스크를 작업 S960에서 플라즈마에 노출시키는 동안 챔버에는 염소, 질소, 헬륨, 또는 아르곤이 공급된다.
일부 실시예에 있어서, 상기 포토마스크의 표면이 탄소계 잔류물로 오염되어 있는지에 관한 결정 그리고 탄소계 잔류물이 제거되었는지에 관한 결정은, 포토마스크의 표면을 검사함으로써 달성된다. 일부 실시예에 있어서, 상기 검사는 시각적 기법을 이용하여 행해진다. 상기 시각적 기법은 포토마스크의 표면을 이미징하기 위해 TEM(transmission electron microscopy)을 이용하는 것을 포함할 수도 있다. 일부 실시예에서는, 포토마스크 상의 탄소 잔류 오염물의 분포를 맵핑(mapping)하기 위해 TEM과 함께 EDS(energy-dispersive X-ray spectroscopy)가 사용된다. 일부 실시예에서는, 적외선 분석 기법이 사용된다. 일부 실시예에 있어서, 주요 치수 균일도의 드리프트, 근접도의 드리프트, 또는 화이트 스팟 결함은, 포토레지스트로 코팅된 기판 상에 형성된 패턴에서 모니터링되며, 주요 치수 균일도의 드리프트, 근접도의 드리프트, 또는 화이트 스팟 결함의 개수가 문턱값을 초과하면, 해당 포토마스크는 본원에서 설명되는 플라즈마 오염물 제거 처리를 거치게 된다.
본 개시내용의 실시예에 따라 탄소계 잔류물의 플라즈마 제거(c-flash)를 거친 포토마스크는 개선된 근접도 및 주요 치수 균일도를 나타낸다. 추가적으로, 본 개시내용에 따른 방법은, EUV 포토마스크 상의 화이트 스팟 결함을 감소시킨다. 따라서, 본 개시내용의 방법에 따르면, 본 개시내용의 플라즈마 제거 작업을 거치지 않은 포토마스크를 사용하여 형성 및 패터닝된 특징부보다 더 샤프(sharp)하고 보다 고도의 콘트라스트로 패터닝된 특징부가 마련된다.
일부 실시예에 있어서, 본 개시내용에 따라 탄화수소 오염물의 제거를 행하면, 주요 치수 균일도가 개선된다. 일부 실시예에 있어서, 본 개시내용의 실시예에 따른 탄화수소 오염물 제거를 거치지 않은 포토마스크의 사용에 비해, 주요 치수 균일도의 표준 편차의 약 50% 이상의 개선이 달성된다. 일부 실시예에 있어서, 본 개시내용의 탄화수소 제거 작업을 행하지 않으면서 약 2000회의 노광을 행한 이후에 포토마스크에 의해 형성되는 패턴의 주요 치수 균일도의 표준 편차는 약 0.63이며, 본 개시내용의 탄화수소 제거 작업 및 약 2000회의 노광 이후에 포토마스크에 의해 형성되는 패턴의 주요 치수 균일도의 표준 편차는 약 0.34이다.
일부 실시예에 있어서, 플라즈마 처리 이후에, 포토마스크에 걸친 근접도의 드리프트의 중심 대 에지 차이는 약 0.1 nm 이하로 감소된다. 일부 실시예에 있어서, 플라즈마 처리 이후에, 근접도의 드리프트는, 포토레지스트 층의 노광을 위해 포토마스크를 이용하기 이전에서의 포토마스크의 초기 값의 약 0.1 nm로 복구된다.
일부 실시예에 있어서, 본 개시내용에 따른 탄화수소 오염물 제거 작업을 주기적으로 거친 포토마스크는, 본 개시내용에 따른 탄화수소 오염물 제거를 거치지 않은 포토마스크보다 100배 더 긴 수명(service life)을 갖는다. 본 개시내용의 탄화수소 제거 작업(c-flash)을 행하지 않으면서 약 800회의 노광 이후에 일부 실시예에서 근접도 및 주요 치수 균일도의 드리프트는 허용 불가능한 수준이 된다. 본 개시내용의 탄화수소 제거 작업을 이용하면, 근접도 및 주요 치수 균일도의 드리프트가 일부 실시예에서 허용 불가능한 수준이 될 때까지 c-플래시 작업들 사이에서 약 17,000회까지 노광 회수를 증가시킬 수 있고, 20배가 넘게 노광 회수가 증가하게 된다. c-플래시 작업은 5회까지 행해질 수 있기 때문에, 본 개시내용의 방법에 따른 포토마스크의 총 수명은, 본 개시내용의 탄화수소 제거 작업을 거치지 않은 포토마스크의 수명에 비해 100배 넘게 증가하게 된다. 따라서, 본 개시내용의 방법은 반도체 디바이스의 수득율을 개선시키며, 보다 효율적인 반도체 디바이스 제조 프로세스를 제시한다.
일부 실시예에 있어서, 본 개시내용에 따른 탄화수소 오염물 제거 작업은 주요 치수 균일도의 드리프트를 실질적으로 완화 또는 제거하며, 근접도의 바이어스 드리프트를 실질적으로 완화 또는 제거하고, 포토마스크 상의 화이트 스팟을 실질적으로 저감 또는 제거한다.
본 개시내용의 실시예는, 반사식 포토마스크에서 반사되는 방사선에 대해 포토레지스트로 코팅된 기판을 노광시키는 단계 또는 포토리소그래피 작업에서 반사식 포토마스크를 사용하지 않으면서 소정 기간 동안 반사식 포토마스크를 보관하는 단계를 포함하는 방법이다. 상기 노광 또는 상기 보관 중에 반사식 포토마스크의 표면 상에 오염물이 형성된다. 그 표면 상에 오염물을 갖는 반사식 포토마스크는, 포토레지스트로 코팅된 기판을 노광시키기 위해 반사식 포토마스크를 사용한 이후에 또는 소정 기간 이후에 플라즈마 처리 챔버에 배치된다. 오염물을 갖는 반사식 포토마스크는, 상기 표면으로부터 오염물을 제거하기 위해 플라즈마 처리 챔버 내에서 플라즈마 처리된다. 상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함한다. 일 실시예에 있어서, 상기 플라즈마 처리 챔버는 플라즈마 처리 중에 1 mtorr 내지 5 mtorr의 압력으로 유지된다. 일 실시예에 있어서, 상기 오염물은 탄소계 잔류물이다. 일 실시예에 있어서, 상기 탄소계 잔류물은 탄화수소를 포함한다. 일 실시예에 있어서, 상기 오염물은 포토마스크의 흡수 층에서의 패턴 상에 배치된다. 일 실시예에 있어서, 산소는 약 10 sccm 내지 약 100 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일 실시예에 있어서, 산소는 약 20 sccm 내지 약 50 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일 실시예에 있어서, 상기 방법은, 약 20 sccm 내지 약 100 sccm의 유량으로 염소를 플라즈마 처리 챔버에 공급하는 단계를 포함한다. 일 실시예에 있어서, 상기 방법은, 약 10 sccm 내지 약 50 sccm의 유량으로 질소를 플라즈마 처리 챔버에 공급하는 단계를 포함한다. 일 실시예에 있어서, 수소는 약 20 sccm 내지 약 100 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일 실시예에 있어서, 상기 방법은, 약 60 sccm 내지 약 300 sccm의 유량으로 헬륨 또는 아르곤을 플라즈마 처리 챔버에 공급하는 단계를 포함한다. 일 실시예에 있어서, 플라즈마 처리 중에 플라즈마 처리 챔버의 소스 파워는 100 W 내지 1000 W의 범위이다. 일 실시예에 있어서, 플라즈마 처리의 유지시간은 5 초 내지 100 초의 범위이다. 일 실시예에 있어서, 상기 방법은, 반사식 포토마스크를 플라즈마에 노출시킨 이후에 오염물이 제거되었는지 여부를 결정하기 위해 반사식 포토마스크를 검사하는 단계를 포함한다.
본 개시내용의 다른 실시예는, 포토마스크를 형성하는 단계; 기판 상에 포토레지스트 패턴을 형성하기 위해 포토리소그래피 프로세스에서 포토마스크를 사용하는 단계를 포함하는 방법이다. 상기 포토마스크는, 포토마스크의 표면으로부터 오염물을 제거하기 위해, 포토리소그래피 프로세스에서 포토마스크를 사용한 이후에, 플라즈마 처리 챔버에서 플라즈마 처리된다. 상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함한다. 일 실시예에 있어서, 포토마스크를 형성하는 단계는, 기판 위에 Mo/Si 다층부를 형성하는 작업, Mo/Si 다층부 위에 캡핑 층을 형성하는 작업, 캡핑 층 위에 흡수 층을 형성하는 작업, 흡수 층 위에 하드 마스크 층을 형성하는 작업, 그리고 하드 마스크 층 위에 제1 포토레지스트 층을 형성하는 작업을 포함한다. 일 실시예에 있어서, 상기 방법은, 하드 마스크 층의 일부를 노출시키기 위해 제1 포토레지스트 층을 패터닝하는 단계; 흡수 층의 일부를 노출시키기 위해 하드 마스크 층의 노출된 부분을 에칭하는 단계; 캡핑 층의 일부를 노출시키기 위해 흡수 층의 노출된 부분을 에칭하는 단계; 흡수 층의 상위 표면을 노출시키기 위해 하드 마스크 층을 제거하는 단계를 포함한다. 일 실시예에 있어서, 상기 플라즈마 처리 챔버는 플라즈마 처리 중에 1 mtorr 내지 5 mtorr의 압력으로 유지된다. 일 실시예에 있어서, 상기 오염물은 탄소계 잔류물이다. 일 실시예에 있어서, 상기 탄소계 잔류물은 탄화수소를 포함한다. 일 실시예에 있어서, 상기 오염물은 포토마스크의 흡수 층에서의 패턴 상에 배치된다. 일 실시예에 있어서, 산소는 10 sccm 내지 100 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일 실시예에 있어서, 산소는 20 sccm 내지 50 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일 실시예에 있어서, 상기 방법은, 20 sccm 내지 100 sccm의 유량으로 염소를 플라즈마 처리 챔버에 공급하는 단계를 포함한다. 일 실시예에 있어서, 상기 방법은, 10 sccm 내지 50 sccm의 유량으로 질소를 플라즈마 처리 챔버에 공급하는 단계를 포함한다. 일 실시예에 있어서, 수소는 20 sccm 내지 100 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일 실시예에 있어서, 상기 방법은, 60 sccm 내지 300 sccm의 유량으로 헬륨 또는 아르곤을 플라즈마 처리 챔버에 공급하는 단계를 포함한다. 일 실시예에 있어서, 플라즈마 처리 중에 플라즈마 처리 챔버의 소스 파워는 100 W 내지 1000 W의 범위이다. 일 실시예에 있어서, 플라즈마 처리의 유지시간은 5 초 내지 100 초이다.
본 개시내용의 다른 실시예는, 포토마스크를 형성하는 단계; 포토마스크 팟 내에 포토마스크를 보관하는 단계를 포함하는 방법이다. 상기 포토마스크는, 포토마스크 팟에서 포토마스크를 보관한 이후에 포토마스크의 표면으로부터 오염물을 제거하기 위해 플라즈마 처리 챔버에서 플라즈마 처리된다. 상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함한다. 일 실시예에 있어서, 포토마스크를 형성하는 단계는, 기판 위에 Mo/Si 다층부를 형성하는 작업, Mo/Si 다층부 위에 캡핑 층을 형성하는 작업, 캡핑 층 위에 흡수 층을 형성하는 작업, 흡수 층 위에 하드 마스크 층을 형성하는 작업, 그리고 하드 마스크 층 위에 제1 포토레지스트 층을 형성하는 작업을 포함한다. 일 실시예에 있어서, 상기 방법은, 하드 마스크 층의 일부를 노출시키기 위해 제1 포토레지스트 층을 패터닝하는 단계; 흡수 층의 일부를 노출시키기 위해 하드 마스크 층의 노출된 부분을 에칭하는 단계; 캡핑 층의 일부를 노출시키기 위해 흡수 층의 노출된 부분을 에칭하는 단계; 흡수 층의 상위 표면을 노출시키기 위해 하드 마스크 층을 제거하는 단계를 포함한다. 일 실시예에 있어서, 상기 플라즈마 처리 챔버는 플라즈마 처리 중에 1 mtorr 내지 5 mtorr의 압력으로 유지된다. 일 실시예에 있어서, 상기 오염물은 탄소계 잔류물이다. 일 실시예에 있어서, 상기 탄소계 잔류물은 탄화수소를 포함한다. 일 실시예에 있어서, 상기 오염물은 포토마스크의 흡수 층에서의 패턴 상에 배치된다. 일 실시예에 있어서, 산소는 10 sccm 내지 100 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일 실시예에 있어서, 산소는 20 sccm 내지 50 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일 실시예에 있어서, 상기 방법은, 20 sccm 내지 100 sccm의 유량으로 염소를 플라즈마 처리 챔버에 공급하는 단계를 포함한다. 일 실시예에 있어서, 상기 방법은, 10 sccm 내지 50 sccm의 유량으로 질소를 플라즈마 처리 챔버에 공급하는 단계를 포함한다. 일 실시예에 있어서, 수소는 20 sccm 내지 100 sccm의 유량으로 플라즈마 처리 챔버에 공급된다. 일 실시예에 있어서, 상기 방법은, 60 sccm 내지 300 sccm의 유량으로 헬륨 또는 아르곤을 플라즈마 처리 챔버에 공급하는 단계를 포함한다. 일 실시예에 있어서, 플라즈마 처리 중에 플라즈마 처리 챔버의 소스 파워는 100 W 내지 1000 W의 범위이다. 일 실시예에 있어서, 플라즈마 처리의 유지시간은 5 초 내지 100 초이다.
본 개시내용의 다른 실시예는, 반사식 포토마스크로부터 반사된, 화학작용을 하는 방사선에 대해 포토레지스트로 코팅된 기판을 노광시키는 단계를 포함하는 방법이다. 상기 반사식 포토마스크는, 포토레지스트로 코팅된 기판을 노광시키기 위해 반사식 포토마스크를 이용한 이후에 챔버 내에 배치된다. 반사식 포토마스크는, 반사식 포토마스크의 표면으로부터 오염물을 제거하기 위해 챔버 내에서 플라즈마에 노출된다. 상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함한다. 일 실시예에 있어서, 화학작용을 하는 방사선에 대해 포토레지스트로 코팅된 기판을 노광시키는 단계는, 화학작용을 하는 방사선에 대해 복수 회의 포토레지스트 노광을 행하는 것을 포함한다. 일 실시예에 있어서, 복수 회의 포토레지스트 노광은 100 회 내지 2500 회의 범위에 해당한다. 일 실시예에 있어서, 상기 화학작용을 하는 방사선은 극자외선 방사선이다. 일 실시예에 있어서, 상기 플라즈마는 염소, 질소, 헬륨, 아르곤, 또는 이들의 조합을 더 포함한다.
본 개시내용의 다른 실시예는, 화이트 스팟 결함을 감소시키고 주요 치수 균일도의 드리프트를 완화시키는 방법으로서, 반사식 포토마스크에서 반사되는, 화학작용을 하는 방사선에 대해 포토레지스트로 코팅된 기판을 노광시키는 단계 또는 포토리소그래피 작업에서 반사식 포토마스크를 사용하지 않으면서 소정 기간 동안 반사식 포토마스크를 보관하는 단계를 포함하는 방법이다. 상기 반사식 포토마스크는, 포토레지스트로 코팅된 기판을 노광시키기 위해 반사식 포토마스크를 이용한 이후에 또는 소정 기간 이후에 챔버 내에 배치된다. 반사식 포토마스크를 챔버 내에 배치한 이후에, 플라즈마를 사용하여 반사식 포토마스크의 표면으로부터 탄소계 잔류 오염물이 제거된다. 일 실시예에 있어서, 상기 플라즈마는 산소, 수소, 아르곤, 헬륨, 염소, 또는 질소를 포함한다. 일 실시예에 있어서, 화학작용을 하는 방사선에 대해 포토레지스트로 코팅된 기판을 노광시키는 단계는, 화학작용을 하는 방사선에 대해 복수 회의 포토레지스트 노광을 행하는 것을 포함한다. 일 실시예에 있어서, 복수 회의 포토레지스트 노광은 100 회 내지 2500 회의 범위에 해당한다. 일 실시예에 있어서, 상기 소정 기간은 적어도 30일이다. 일 실시예에 있어서, 상기 소정 기간은 30일 내지 180일이다. 일 실시예에 있어서, 상기 화학작용을 하는 방사선은 극자외선 방사선이다. 일 실시예에 있어서, 상기 방법은, 탄소계 잔류 오염물이 제거되었는지 여부를 결정하기 위해 반사식 포토마스크를 검사하는 단계를 포함한다.
본 개시내용의 다른 실시예는, 포토마스크의 표면이 탄소계 잔류물로 오염되어 있는지 여부를 결정하는 단계를 포함하는 방법이다. 상기 포토마스크는, 포토마스크의 표면이 탄소계 잔류물로 오염되어 있다고 판단될 때 챔버 내에 배치된다. 상기 포토마스크는, 탄소계 잔류물을 제거하기 위해 챔버 내에서 플라즈마에 노출된다. 상기 포토마스크는, 포토마스크를 플라즈마에 노출시킨 이후에 탄소계 잔류물이 제거되었는지 여부를 결정하기 위해 검사를 받는다. 일 실시예에 있어서, 상기 방법은, 탄소계 잔류물이 제거되었는지 여부를 결정한 이후에, 포토레지스트로 코팅된 기판을 극자외선 방사선에 노광시키기 위해 포토마스크를 이용하는 단계를 포함한다. 일 실시예에 있어서, 상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함한다. 일 실시예에 있어서, 상기 챔버는 포토마스크를 플라즈마에 노출시키는 동안 1 mtorr 내지 5 mtorr의 압력으로 유지된다. 일 실시예에 있어서, 상기 방법은, 포토마스크를 플라즈마에 노출시키는 동안 챔버에 염소, 질소, 헬륨 또는 아르곤을 공급하는 단계를 포함한다. 일 실시예에 있어서, 100 W 내지 1000 W의 범위의 전력으로 포토마스크에 플라즈마가 적용된다. 일 실시예에 있어서, 상기 플라즈마는 5 초 내지 100 초의 범위의 유지시간 동안 포토마스크에 적용된다.
이상은, 당업자가 본 개시내용의 양태를 더욱 양호하게 이해할 수 있게 하도록 여러 실시예 또는 예의 특징들을 개괄하고 있다. 당업자는, 본원에 도입된 실시예 또는 예와 동일한 장점을 달성하고 및/또는 동일한 목적을 수행하기 위해 다른 프로세스 및 구조를 구성 또는 변경하는 기초로서 본 개시내용을 용이하게 이용할 수도 있다는 것을 이해할 것이다. 당업자는 또한 전술한 등가적 구성이 본 개시내용의 사상 및 범위로부터 벗어나는 것이 아니라는 것을 인식해야 하며, 본 개시내용의 사상 및 범위로부터 벗어나지 않으면서 본원에서의 다양한 변경, 대체, 및 변화를 채용할 수도 있다는 것을 인식해야 한다.
<부기>
1. 반사식 포토마스크에서 반사되는 방사선에 대해, 포토레지스트로 코팅된 기판을 노광시키는 단계, 또는 포토리소그래피 작업에서 상기 반사식 포토마스크를 사용하지 않으면서 미리 정해진 기간 동안 상기 반사식 포토마스크를 보관하는 단계로서, 상기 노광 또는 상기 보관 중에 상기 반사식 포토마스크의 표면 상에 오염물이 형성되는 것인 단계;
상기 포토레지스트로 코팅된 기판을 노광시키기 위해 상기 반사식 포토마스크를 사용한 이후에 또는 미리 정해진 기간 이후에, 표면 상에 오염물을 갖는 상기 반사식 포토마스크를 플라즈마 처리 챔버에 배치하는 단계;
상기 표면으로부터 오염물을 제거하기 위해, 오염물을 갖는 반사식 포토마스크를 플라즈마 처리 챔버 내에서 플라즈마 처리하는 단계
를 포함하는 방법으로서,
상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함하는 것인 방법.
2. 제1항에 있어서, 상기 플라즈마 처리 챔버는 플라즈마 처리 중에 1 mtorr 내지 5 mtorr의 압력으로 유지되는 것인 방법.
3. 제1항에 있어서, 상기 오염물은 포토마스크의 흡수 층에서의 패턴 상에 배치되는 것인 방법.
4. 제1항에 있어서, 산소는 10 sccm 내지 100 sccm의 유량으로 플라즈마 처리 챔버에 공급되는 것인 방법.
5. 제1항에 있어서,
20 sccm 내지 100 sccm의 유량으로 플라즈마 처리 챔버에 염소를 공급하는 단계
를 더 포함하는 방법.
6. 제1항에 있어서,
10 sccm 내지 50 sccm의 유량으로 플라즈마 처리 챔버에 질소를 공급하는 단계
를 더 포함하는 방법.
7. 제1항에 있어서, 수소는 20 sccm 내지 100 sccm의 유량으로 플라즈마 처리 챔버에 공급되는 것인 방법.
8. 제1항에 있어서,
60 sccm 내지 300 sccm의 유량으로 플라즈마 처리 챔버에 헬륨 또는 아르곤을 공급하는 단계
를 더 포함하는 방법.
9. 제1항에 있어서, 플라즈마 처리 중에 플라즈마 처리 챔버의 소스 파워는 100 W 내지 1000 W의 범위인 것인 방법.
10. 제1항에 있어서, 플라즈마 처리의 유지시간은 5 초 내지 100 초의 범위인 것인 방법.
11. 포토마스크를 형성하는 단계;
기판 상에 포토레지스트 패턴을 형성하기 위해 포토리소그래피 프로세스에서 포토마스크를 사용하는 단계;
포토리소그래피 프로세스에서 포토마스크를 사용한 이후에, 포토마스크의 표면으로부터 오염물을 제거하기 위해, 플라즈마 처리 챔버에서 포토마스크를 플라즈마 처리하는 단계를 포함하고,
상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함하는 것인 방법.
12. 제11항에 있어서, 상기 포토마스크를 형성하는 단계는,
기판 위에 Mo/Si 다중층을 형성하는 작업;
상기 Mo/Si 다중층 위에 캡핑 층(capping layer)을 형성하는 작업;
상기 캡핑 층 위에 흡수 층을 형성하는 작업;
상기 흡수 층 위에 하드 마스크 층을 형성하는 작업;
상기 하드 마스크 층 위에 제1 포토레지스트 층을 형성하는 작업
을 포함하는 것인 방법.
13. 제12항에 있어서,
상기 하드 마스크 층의 일부를 노출시키기 위해 상기 제1 포토레지스트 층을 패터닝하는 단계;
상기 흡수 층의 일부를 노출시키기 위해 상기 하드 마스크 층의 노출된 부분을 에칭하는 단계;
상기 캡핑 층의 일부를 노출시키기 위해 상기 흡수 층의 노출된 부분을 에칭하는 단계;
상기 흡수 층의 상위면을 노출시키기 위해 상기 하드 마스크 층을 제거하는 단계
를 더 포함하는 방법.
14. 제11항에 있어서, 상기 플라즈마 처리 챔버는 플라즈마 처리 중에 1 mtorr 내지 5 mtorr의 압력으로 유지되는 것인 방법.
15. 제11항에 있어서, 산소는 10 sccm 내지 100 sccm의 유량으로 플라즈마 처리 챔버에 공급되는 것인 방법.
16. 제11항에 있어서,
20 sccm 내지 100 sccm의 유량으로 플라즈마 처리 챔버에 염소를 공급하는 단계, 또는
10 sccm 내지 50 sccm의 유량으로 플라즈마 처리 챔버에 질소를 공급하는 단계
를 더 포함하는 방법.
17. 제11항에 있어서, 수소는 20 sccm 내지 100 sccm의 유량으로 플라즈마 처리 챔버에 공급되는 것인 방법.
18. 제11항에 있어서,
60 sccm 내지 300 sccm의 유량으로 플라즈마 처리 챔버에 헬륨 또는 아르곤을 공급하는 단계
를 더 포함하는 방법.
19. 제11항에 있어서, 플라즈마 처리 중에 플라즈마 처리 챔버의 소스 파워는 100 W 내지 1000 W의 범위인 것인 방법.
20. 포토마스크를 형성하는 단계;
포토마스크 팟(photomask pod) 내에 포토마스크를 보관하는 단계;
포토마스크 팟 내에 포토마스크를 보관한 이후에, 포토마스크의 표면으로부터 오염물을 제거하기 위해, 플라즈마 처리 챔버에서 포토마스크를 플라즈마 처리하는 단계를 포함하고,
상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함하는 것인 방법.

Claims (10)

  1. 반사식 포토마스크에서 반사되는 방사선에 대해, 포토레지스트로 코팅된 기판을 노광시키는 단계, 또는 포토리소그래피 작업에서 상기 반사식 포토마스크를 사용하지 않으면서 미리 정해진 기간 동안 상기 반사식 포토마스크를 보관하는 단계로서, 상기 노광 또는 상기 보관 중에 상기 반사식 포토마스크의 표면 상에 오염물이 형성되는 것인 단계;
    상기 포토레지스트로 코팅된 기판을 노광시키기 위해 상기 반사식 포토마스크를 사용한 이후에 또는 미리 정해진 기간 이후에, 표면 상에 오염물을 갖는 상기 반사식 포토마스크를 플라즈마 처리 챔버에 배치하는 단계;
    상기 표면으로부터 상기 오염물을 제거하기 위해, 오염물을 갖는 상기 반사식 포토마스크를 상기 플라즈마 처리 챔버 내에서 플라즈마 처리하는 단계
    를 포함하는 방법으로서,
    상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함하는 것인 방법.
  2. 제1항에 있어서, 상기 플라즈마 처리 챔버는 플라즈마 처리 중에 1 mtorr 내지 5 mtorr의 압력으로 유지되는 것인 방법.
  3. 제1항에 있어서, 산소는 10 sccm 내지 100 sccm의 유량으로 상기 플라즈마 처리 챔버에 공급되는 것인 방법.
  4. 제1항에 있어서,
    20 sccm 내지 100 sccm의 유량으로 상기 플라즈마 처리 챔버에 염소를 공급하는 단계;
    10 sccm 내지 50 sccm의 유량으로 상기 플라즈마 처리 챔버에 질소를 공급하는 단계;
    60 sccm 내지 300 sccm의 유량으로 상기 플라즈마 처리 챔버에 헬륨 또는 아르곤을 공급하는 단계
    중 적어도 하나를 더 포함하는 방법.
  5. 제1항에 있어서, 수소는 20 sccm 내지 100 sccm의 유량으로 상기 플라즈마 처리 챔버에 공급되는 것인 방법.
  6. 제1항에 있어서, 상기 플라즈마 처리 중에 상기 플라즈마 처리 챔버의 소스 파워는 100 W 내지 1000 W의 범위인 것인 방법.
  7. 포토마스크를 형성하는 단계;
    기판 상에 포토레지스트 패턴을 형성하기 위해 포토리소그래피 프로세스에서 상기 포토마스크를 사용하는 단계;
    포토리소그래피 프로세스에서 상기 포토마스크를 사용한 이후에, 상기 포토마스크의 표면으로부터 오염물을 제거하기 위해, 플라즈마 처리 챔버에서 상기 포토마스크를 플라즈마 처리하는 단계를 포함하고,
    상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함하는 것인 방법.
  8. 제7항에 있어서, 상기 포토마스크를 형성하는 단계는,
    기판 위에 Mo/Si 다중층을 형성하는 작업;
    상기 Mo/Si 다중층 위에 캡핑 층(capping layer)을 형성하는 작업;
    상기 캡핑 층 위에 흡수 층을 형성하는 작업;
    상기 흡수 층 위에 하드 마스크 층을 형성하는 작업;
    상기 하드 마스크 층 위에 제1 포토레지스트 층을 형성하는 작업
    을 포함하는 것인 방법.
  9. 제8항에 있어서,
    상기 하드 마스크 층의 일부를 노출시키기 위해 상기 제1 포토레지스트 층을 패터닝하는 단계;
    상기 흡수 층의 일부를 노출시키기 위해 상기 하드 마스크 층의 노출된 부분을 에칭하는 단계;
    상기 캡핑 층의 일부를 노출시키기 위해 상기 흡수 층의 노출된 부분을 에칭하는 단계;
    상기 흡수 층의 상위면을 노출시키기 위해 상기 하드 마스크 층을 제거하는 단계
    를 더 포함하는 방법.
  10. 포토마스크를 형성하는 단계;
    포토마스크 팟(photomask pod) 내에 포토마스크를 보관하는 단계;
    상기 포토마스크 팟 내에 상기 포토마스크를 보관한 이후에, 상기 포토마스크의 표면으로부터 오염물을 제거하기 위해, 플라즈마 처리 챔버에서 상기 포토마스크를 플라즈마 처리하는 단계를 포함하고,
    상기 플라즈마는 산소 플라즈마 또는 수소 플라즈마를 포함하는 것인 방법.
KR1020190119128A 2018-09-28 2019-09-26 포토마스크를 제작 및 제공하는 방법 KR102401702B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862738003P 2018-09-28 2018-09-28
US62/738,003 2018-09-28
US16/568,028 US11360384B2 (en) 2018-09-28 2019-09-11 Method of fabricating and servicing a photomask
US16/568,028 2019-09-11

Publications (2)

Publication Number Publication Date
KR20200037095A true KR20200037095A (ko) 2020-04-08
KR102401702B1 KR102401702B1 (ko) 2022-05-26

Family

ID=69781186

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020190119128A KR102401702B1 (ko) 2018-09-28 2019-09-26 포토마스크를 제작 및 제공하는 방법

Country Status (3)

Country Link
US (2) US11714350B2 (ko)
KR (1) KR102401702B1 (ko)
DE (1) DE102019124781B4 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11221554B2 (en) 2020-01-17 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. EUV masks to prevent carbon contamination

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080001473A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 헤이즈 결함을 제거한 포토 마스크의 제조 방법
KR20080025294A (ko) * 2006-09-15 2008-03-20 어플라이드 머티어리얼스, 인코포레이티드 극자외선(euv) 포토마스크를 에칭하는 방법
KR20110050438A (ko) * 2008-06-26 2011-05-13 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭시 인시츄 건식 세정을 위한 방법 및 장치
JP2012256944A (ja) * 2012-09-20 2012-12-27 Carl Zeiss Smt Gmbh 光学面から汚染層を除去するための方法、洗浄ガスを生成するための方法、ならびに対応する洗浄および洗浄ガス生成の構造
KR20160132068A (ko) * 2014-03-11 2016-11-16 시바우라 메카트로닉스 가부시끼가이샤 반사형 마스크의 세정 장치 및 반사형 마스크의 세정 방법

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7482280B2 (en) 2005-08-15 2009-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming a lithography pattern
US7767365B2 (en) 2006-08-31 2010-08-03 Micron Technology, Inc. Methods for forming and cleaning photolithography reticles
JP5699938B2 (ja) 2009-12-09 2015-04-15 旭硝子株式会社 Euvリソグラフィ用多層膜ミラーおよびその製造方法
JP2013115304A (ja) 2011-11-30 2013-06-10 Nuflare Technology Inc 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US9093530B2 (en) 2012-12-28 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of FinFET
US9052595B2 (en) 2013-03-15 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography process
US9310675B2 (en) 2013-03-15 2016-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8796666B1 (en) 2013-04-26 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. MOS devices with strain buffer layer and methods of forming the same
US9261774B2 (en) 2013-11-22 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US9377693B2 (en) 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US9548303B2 (en) 2014-03-13 2017-01-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices with unique fin shape and the fabrication thereof
US9529268B2 (en) 2014-04-03 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Systems and methods for improving pattern transfer
US9256123B2 (en) 2014-04-23 2016-02-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making an extreme ultraviolet pellicle
US9184054B1 (en) 2014-04-25 2015-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for integrated circuit patterning
US9625824B2 (en) 2015-04-30 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd Extreme ultraviolet lithography collector contamination reduction
US10459352B2 (en) 2015-08-31 2019-10-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask cleaning
US10345695B2 (en) 2016-11-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet alignment marks
CN107132733A (zh) 2017-05-11 2017-09-05 湘能华磊光电股份有限公司 一种led芯片光刻显影方法
KR101976059B1 (ko) 2017-05-25 2019-05-07 (주)인터체크 플라즈마를 이용한 레티클 세정장치
DE102017211539A1 (de) 2017-07-06 2019-01-10 Carl Zeiss Smt Gmbh Verfahren zum Entfernen einer Kontaminationsschicht durch einen Atomlagen-Ätzprozess
US11500281B2 (en) 2019-09-02 2022-11-15 Hoya Corporation Reflective film coated substrate, mask blank, reflective mask, and semiconductor device manufacturing method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20080001473A (ko) * 2006-06-29 2008-01-03 주식회사 하이닉스반도체 헤이즈 결함을 제거한 포토 마스크의 제조 방법
KR20080025294A (ko) * 2006-09-15 2008-03-20 어플라이드 머티어리얼스, 인코포레이티드 극자외선(euv) 포토마스크를 에칭하는 방법
KR20110050438A (ko) * 2008-06-26 2011-05-13 어플라이드 머티어리얼스, 인코포레이티드 포토마스크 플라즈마 에칭시 인시츄 건식 세정을 위한 방법 및 장치
JP2012256944A (ja) * 2012-09-20 2012-12-27 Carl Zeiss Smt Gmbh 光学面から汚染層を除去するための方法、洗浄ガスを生成するための方法、ならびに対応する洗浄および洗浄ガス生成の構造
KR20160132068A (ko) * 2014-03-11 2016-11-16 시바우라 메카트로닉스 가부시끼가이샤 반사형 마스크의 세정 장치 및 반사형 마스크의 세정 방법

Also Published As

Publication number Publication date
KR102401702B1 (ko) 2022-05-26
DE102019124781B4 (de) 2024-06-06
DE102019124781A1 (de) 2020-04-02
US11714350B2 (en) 2023-08-01
US20220299865A1 (en) 2022-09-22
US20230341767A1 (en) 2023-10-26

Similar Documents

Publication Publication Date Title
US11789355B2 (en) Extreme ultraviolet mask and method of manufacturing the same
US11774844B2 (en) Extreme ultraviolet mask and method of manufacturing the same
US20160320708A1 (en) Extreme Ultraviolet Lithography Collector Contamination Reduction
US11832372B2 (en) EUV light source and apparatus for lithography
US20220350236A1 (en) Extreme ultraviolet mask and method of manufacturing the same
US20230341767A1 (en) Method of fabricating and servicing a photomask
US11740549B2 (en) Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US10871713B2 (en) Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning
US20230324804A1 (en) Extreme ultraviolet lithography method, extreme ultraviolet mask and formation method thereof
US11360384B2 (en) Method of fabricating and servicing a photomask
JP2013503357A (ja) スペクトル純度フィルタ、リソグラフィ装置およびスペクトル純度フィルタを製造する方法
US20220382168A1 (en) Method of manufacturing semiconductor devices using a photomask
US11605477B1 (en) EUV lithography apparatus
US11720035B2 (en) Mitigating long-term energy decay of laser devices
US20230288807A1 (en) Photoresist, method of manufacturing a semiconductor device and method of extreme ultraviolet lithography

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right