JP2013503357A - スペクトル純度フィルタ、リソグラフィ装置およびスペクトル純度フィルタを製造する方法 - Google Patents

スペクトル純度フィルタ、リソグラフィ装置およびスペクトル純度フィルタを製造する方法 Download PDF

Info

Publication number
JP2013503357A
JP2013503357A JP2012525956A JP2012525956A JP2013503357A JP 2013503357 A JP2013503357 A JP 2013503357A JP 2012525956 A JP2012525956 A JP 2012525956A JP 2012525956 A JP2012525956 A JP 2012525956A JP 2013503357 A JP2013503357 A JP 2013503357A
Authority
JP
Japan
Prior art keywords
substrate
spectral purity
radiation
purity filter
region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2012525956A
Other languages
English (en)
Inventor
スール,ワウター
ヤク,マーティン
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2013503357A publication Critical patent/JP2013503357A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/204Filters in which spectral selection is performed by means of a conductive grid or array, e.g. frequency selective surfaces
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/208Filters for use with infrared or ultraviolet radiation, e.g. for separating visible light from infrared and/or ultraviolet radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/10Scattering devices; Absorbing devices; Ionising radiation filters

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

スペクトル純度フィルタを製造する方法が提供される。この方法では、スペクトル純度フィルタの複数のアパーチャに対応する基材の第1表面に開口部が形成される。少なくとも第1表面における開口部を囲う基材の表面を化学的に処理して第2材料層を形成し、基材は第2表面からエッチングされ、それによって開口部は、基材の第1表面から基材の第2表面まで延在する。
【選択図】図2

Description

[0001] 本願は、2009年8月27日に出願した米国仮出願第61/237,614号の優先権を主張し、その全体を本願に参考として組み込む。
[0002] 本発明は、スペクトル純度フィルタ、そのようなスペクトル純度フィルタを含むリソグラフィ装置およびスペクトル純度フィルタを製造する方法に関する。
[0003] リソグラフィ装置は、所望のパターンを基板上、通常、基板のターゲット部分上に付与する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に用いることができる。その場合、ICの個々の層上に形成される回路パターンを生成するために、マスクまたはレチクルとも呼ばれるパターニングデバイスを用いることができる。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、ダイの一部、または1つ以上のダイを含む)に転写することができる。通常、パターンの転写は、基板上に設けられた放射感応性材料(レジスト)層上への結像によって行われる。一般には、単一の基板が、連続的にパターニングされる隣接したターゲット部分のネットワークを含んでいる。公知のリソグラフィ装置としては、ターゲット部分上にパターン全体を一度に露光することにより各ターゲット部分を照射する、いわゆるステッパ、および放射ビームによってある特定の方向(「スキャン」方向)にパターンをスキャンすると同時に、この方向に平行または逆平行に基板をスキャンすることにより各ターゲット部分を照射する、いわゆるスキャナが含まれる。パターンを基板上にインプリントすることにより、パターニングデバイスから基板にパターンを転写することも可能である。
[0004] パターン印刷を制限する重要な要因は、使用される放射の波長λである。より小さい構造を基板上に投影できるようにするためには、10〜20nmの範囲内、例えば13〜14nmの範囲内の波長を有する電磁放射である極端紫外線(EUV)を使用することが提案されている。さらに、10nmより小さい波長、例えば6.7nmまたは6.8nmといったように5〜10nmの範囲内の波長を有するEUV放射が使用されてもよいことが提案されている。そのようなEUV放射を軟X線と呼ぶことがある。可能な放射源としては、例えば、レーザ生成プラズマ源、放電プラズマ源または電子蓄積リングからのシンクロトロン放射が挙げられる。
[0005] スズ(Sn)プラズマに基づくEUV源は、所望の帯域内EUV放射のみではなく、帯域外放射、特に深UV(DUV)範囲(100〜400nm)内の放射も放出する。さらに、レーザ生成プラズマ(LPP)EUV源の場合、通常10.6μmのレーザからの赤外放射は、かなりの量の望ましくない放射を表す。EUVリソグラフィシステムの光学系は通常この波長においてかなりの反射率を有するため、何らかの手段がとられない場合、望ましくない放射はかなりの力を有してリソグラフィツールへと伝搬する。
[0006] リソグラフィ装置においては、帯域外放射はいくつかの理由により最小限にされるべきである。第1に、レジストは帯域外波長に対して敏感であり、よって画像品質が低下し得る。第2に、望ましくない放射、特にLPP源における10.6μmの放射は、マスク、ウェーハおよび光学系の望ましくない加熱へと繋がる。望ましくない放射を特定の範囲内とするために、スペクトル純度フィルタ(SPF)が展開されている。スペクトル純度フィルタは、EUV放射に対して反射型または透過型のいずれかであってよい。反射型SPFの実施は、既存のミラーの変形または追加の反射要素の挿入を必要とする。透過型SPFは、典型的には、コレクタとイルミネータとの間に配置され、少なくとも原理上、放射経路に影響を与えない。これは、他のSPFに対する順応性および適合性という結果となるため、利点である。
[0007] グリッドSPFは、望ましくない放射がEUV放射よりかなり大きい波長を有する場合、例えばLPP源における10.6μmの放射であった場合に使用され得る一組の透過型SPFを形成する。グリッドSPFは、抑制される波長程度のサイズを有するアパーチャを含む。抑制メカニズムは、従来技術および本明細書中の詳細な実施形態においてさらに説明されるように、種々のタイプのグリッドSPFの間で異なり得る。EUV放射の波長(13.5nm)はアパーチャのサイズ(典型的には、>3μm)よりかなり小さいため、EUV放射は実質的な回折なしにアパーチャを通過する。
[0008] いくつかの従来のスペクトル純度フィルタ(SPF)は、望ましくない放射を抑制するためにミクロンサイズのアパーチャを有するグリッドに依存する。米国特許出願公開第2006/0146413号は、20μmまでの直径を有するアパーチャアレイを含むスペクトル純度フィルタ(SPF)を開示している。放射波長と比較したアパーチャのサイズによって、SPFは、異なるメカニズムによって望ましくない放射を抑制し得る。アパーチャサイズが(望ましくない)波長の約半分より小さい場合、SPFはこの波長の実質的に全ての放射を反射する。アパーチャサイズはさらに大きいが依然として波長程度であった場合、放射は少なくとも部分的に回折されてアパーチャ内の導波管に吸収され得る。
[0009] これらのSPFに対するおよその材料パラメータおよび仕様は周知である。しかしながら、これらの仕様における製造は簡単ではない。最も難しい仕様は、典型的には直径4μmのアパーチャ、典型的には5〜10μmのグリッドの厚さ、および最大EUV透過を確実にするためにアパーチャ間の非常に薄くて(典型的には<1μm)平行な(先細りではない)壁である。
[0010] シリコンは、半導体製造において十分に理解されているフォトリソグラフィパターニングおよび異方性エッチングプロセスを用いて、そのようなグリッドの製造に対する有望な材料として出現した。十分に制御された断面を有する深いアパーチャに対して、深堀り反応性イオンエッチング(DRIE)が有望とされているが、所要の仕様を有するEUVスペクトル純度フィルタを製造する方法を提供することに対する困難が残っている。
[0011] 実施することが比較的簡単なEUVスペクトル純度フィルタを製造する方法を提供し、かつ所望の仕様を有するEUVスペクトル純度フィルタを提供することが本発明の一態様である。
[0012] 本発明の一実施形態によると、極端紫外線を透過させかつ第2タイプの放射の透過を抑制するように構成された複数のアパーチャを有するスペクトル純度フィルタを製造する方法が提供される。方法は、第1主要表面および第2主要表面を有する単結晶シリコンなどの基材を提供することと、スペクトル純度フィルタの所要のアパーチャに対応する基材の第1表面に開口部を形成することと、少なくとも第1表面における開口部を囲う基材の表面を化学的に処理して第2材料層を形成することであって、第2材料は、基材と異なりかつエッチングプロセスに対して未処理の基材より大きい抵抗力を有する、ことと、少なくとも開口部の領域において基材の厚さを減少させるためにエッチングプロセスを用いて基材をエッチングすることであって、それによって開口部が基材を通って延在する、こととを含む。
[0013] 基材の表面を化学的に処理して第2材料を形成することは、少なくとも基材のあらゆる表面上の基材の層が第2材料に変換されるように構成されてよい。任意選択として、基材をエッチングすることは、水酸化カリウムを用いてエッチングすることを含み、基材の表面を化学的に処理することは、水酸化カリウムを用いたエッチングに対して未処理の基材より大きい抵抗力を有する第2材料となる。基材は第2表面からエッチングされてよい。基材の表面を化学的に処理することは、基材の第1表面に形成された隣接する開口部間の実質的に全ての基材が第2材料に変換されるように構成されてよい。基材の第1表面に開口部を形成することは、深堀り反応性イオンエッチングすることを含んでよい。スペクトル純度フィルタを製造する方法は、任意的に、例えば、反応性イオンエッチングの手段を含む、第1表面から最も離れている開口部の端面上に形成されたあらゆる第2材料を選択的に除去することを含む。
[0014] 基材をエッチングするステップは、開口部の周りの基材の厚さを2μm〜10μmの範囲に減少させてよい。開口部は、隣接する開口部を隔てる材料の幅が1μmより小さいように形成されてよい。開口部の領域内の基材の結果として生じる厚さ対隣接する開口部を隔てる材料の幅の比率は、5:1〜20:1の範囲であってよい。方法は、開口部の周りの基材の第1表面および第2表面うちの少なくとも1つを第2タイプの放射を反射する金属などの材料によってコーティングすることをさらに含んでよい。
[0015] 本発明の一態様によると、上記の方法によって製造されたスペクトル純度フィルタが提供される。
[0016] 本発明の一態様によると、極端紫外線を透過させかつ第2タイプの放射の透過を抑制するように構成された複数のアパーチャを有するグリッドを含むスペクトル純度フィルタが提供されており、グリッドは、アパーチャを互いから離す壁をさらに含み、壁は窒化シリコンなどのシリコン化合物から実質的に形成される。
[0017] 本発明の一態様によると、上記の方法によって製造されたスペクトル純度フィルタを含む放射源およびリソグラフィ装置が提供される。
[0018] 本発明の一態様によると、放射源が提供されており、放射源は、極端紫外線を透過させかつ第2タイプの放射の透過を抑制するように構成された複数のアパーチャを含むグリッドと、アパーチャを互いから離す壁であって、壁はシリコン化合物から実質的に形成される、壁とを含むスペクトル純度フィルタを含む。
[0019] 本発明の一態様によると、極端紫外線および第2タイプの放射を含む放射を生成するように構成された放射源と、極端紫外線を透過させかつ第2タイプの放射の透過を抑制するように構成されたスペクトル純度フィルタとを含むリソグラフィ装置が提供される。スペクトル純度フィルタは、極端紫外線を透過させかつ第2タイプの放射の透過を抑制するように構成された複数のアパーチャを含むグリッドと、アパーチャを互いから離す壁であって、壁はシリコン化合物から実質的に形成される、壁とを含む。装置は、さらに、グリッドによって透過された極端紫外線をパターン付けするように構成されたパターニングデバイスを支持するように構成されたサポートと、パターン付き放射を基板上に投影するように構成された投影システムとを含む。
[0020] 本発明のいくつかの実施形態を、単なる例として、添付の概略図を参照して以下に説明する。これらの図面において同じ参照符号は対応する部分を示す。
[0021] 図1は、本発明の一実施形態によるリソグラフィ装置を示す。 [0022] 図2は、本発明の一実施形態によるリソグラフィ装置の配置を示す。 [0023] 図3は、本発明の一実施形態によるスペクトル純度フィルタの正面図を示す。 [0024] 図4は、本発明の一実施形態によるスペクトル純度フィルタの変形形態の詳細を示す。 [0025] 図5は、本発明の一実施形態よる製造の中間段階におけるスペクトル純度フィルタの傾斜断面図のマイクログラフィックイメージを示す。 [0026] 図6は、図5のスペクトル純度フィルタにおける2つのアパーチャ間の壁のより詳細なイメージを示す。 [0027] 図7は、本発明の一実施形態によるスペクトル純度フィルタの製造における段階を示す。 [0027] 図8は、本発明の一実施形態によるスペクトル純度フィルタの製造における段階を示す。 [0027] 図9は、本発明の一実施形態によるスペクトル純度フィルタの製造における段階を示す。 [0027] 図10は、本発明の一実施形態によるスペクトル純度フィルタの製造における段階を示す。 [0027] 図11は、本発明の一実施形態によるスペクトル純度フィルタの製造における段階を示す。 [0027] 図12は、本発明の一実施形態によるスペクトル純度フィルタの製造における段階を示す。 [0027] 図13は、本発明の一実施形態によるスペクトル純度フィルタの製造における段階を示す。 [0028] 図14は、図7〜図13に示す方法の変形形態であるスペクトル純度フィルタを製造するプロセスのステップを示す。 [0029] 図15は、図7〜図13に示す方法の変形形態であるスペクトル純度フィルタを製造するプロセスのステップを示す。
[0030] 図1は、本発明の一実施形態によるリソグラフィ装置を概略的に示している。装置は、放射ビームB(例えば、UV放射またはEUV放射)を調整するように構成された照明システム(イルミネータ)ILと、パターニングデバイス(例えば、マスク)MAを支持するように構成され、かつ特定のパラメータに従ってパターニングデバイスを正確に位置決めるように構成された第1ポジショナPMに連結されているサポート構造(例えば、マスクテーブル)MTと、基板(例えば、レジストコートウェーハ)Wを保持するように構成され、かつ特定のパラメータに従って基板を正確に位置決めるように構成された第2ポジショナPWに連結されている基板テーブル(例えば、ウェーハテーブル)WTと、パターニングデバイスMAによって放射ビームBに付けられたパターンを基板Wのターゲット部分C(例えば、1つ以上のダイを含む)上に投影するように構成された投影システム(例えば、反射投影レンズシステム)PSとを備える。
[0031] 照明システムとしては、放射を誘導し、整形し、または制御するために、屈折型、反射型、磁気型、電磁型、静電型、またはその他のタイプの光コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光コンポーネントを含むことができる。
[0032] サポート構造は、パターニングデバイスの重量を支えるなどしてパターニングデバイスを支持する。サポート構造は、パターニングデバイスの向き、リソグラフィ装置の設計、および、パターニングデバイスが真空環境内で保持されているか否かなどの他の条件に応じた態様で、パターニングデバイスを保持する。サポート構造は、機械式、真空式、静電式またはその他のクランプ技術を使って、パターニングデバイスを保持することができる。サポート構造は、例えば、必要に応じて固定または可動式にすることができるフレームまたはテーブルであってもよい。サポート構造は、パターニングデバイスを、例えば、投影システムに対して所望の位置に確実に置くことができる。本明細書において使用される「レチクル」または「マスク」という用語はすべて、より一般的な「パターニングデバイス」という用語と同義であると考えるとよい。
[0033] 本明細書において使用される「パターニングデバイス」という用語は、基板のターゲット部分内にパターンを作り出すように、放射ビームの断面にパターンを与えるために使用できるあらゆるデバイスを指していると、広く解釈されるべきである。なお、留意すべき点として、放射ビームに付与されたパターンは、例えば、そのパターンが位相シフトフィーチャまたはいわゆるアシストフィーチャを含む場合、基板のターゲット部分内の所望のパターンに正確に一致しない場合もある。通常、放射ビームに付けたパターンは、集積回路などのターゲット部分内に作り出されるデバイス内の特定の機能層に対応することになる。
[0034] パターニングデバイスは、透過型または反射型であってもよい。EUVリソグラフィの現在の提案は、図1に示すような反射型パターニングデバイスを採用している。パターニングデバイスの例としては、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクは、リソグラフィでは公知であり、バイナリ、レべンソン型(alternating)位相シフト、およびハーフトーン型(attenuated)位相シフトなどのマスク型、ならびに種々のハイブリッドマスク型を含む。プログラマブルミラーアレイの一例では、小型ミラーのマトリックス配列が用いられており、各小型ミラーは、入射する放射ビームを様々な方向に反射させるように、個別に傾斜させることができる。傾斜されたミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付ける。
[0035] 本明細書において使用される「投影システム」という用語は、使われている露光放射にとって、あるいは液浸液の使用または真空の使用といった他の要因にとって適切な、屈折型、反射型、反射屈折型、磁気型、電磁型、および静電型光学系、またはそれらのあらゆる組合せを含むあらゆる型の投影システムを包含していると広く解釈されるべきである。
[0036] 本明細書において使用される「投影レンズ」という用語はすべて、より一般的な「投影システム」という用語と同義であると考えるとよい。EUV波長に対しては、透過性材料は簡単に手に入らない。したがって、EUVシステムにおける照明および投影用の「レンズ」は、通常、反射型であり、すなわち、曲面ミラーである。
[0037] リソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブル(および/または2つ以上のマスクテーブル)を有する型のものであってもよい。そのような「マルチステージ」機械においては、追加のテーブルは並行して使うことができ、または予備工程を1つ以上のテーブル上で実行しつつ、別の1つ以上のテーブルを露光用に使うこともできる。
[0038] また、リソグラフィ装置は、投影システムと基板との間の空間を満たすように、比較的高屈折率を有する液体(例えば水)によって基板の少なくとも一部を覆うことができるタイプのものであってもよい。また、リソグラフィ装置内の別の空間(例えば、マスクと投影システムとの間)に液浸液を加えてもよい。液浸技術は、投影システムの開口数を増加させることで当技術分野において周知である。本明細書において使用される「液浸」という用語は、基板のような構造物を液体内に沈めなければならないという意味ではなく、単に、露光中、投影システムと基板との間に液体があるということを意味するものである。
[0039] 図1を参照すると、イルミネータILは、放射源SOから放射ビームを受ける。例えば、放射源がエキシマレーザである場合、放射源とリソグラフィ装置は、別個の構成要素であってもよい。そのような場合には、放射源は、リソグラフィ装置の一部を形成しているとはみなされず、また放射ビームは、放射源SOからイルミネータILへ、例えば、適切な誘導ミラーおよび/またはビームエキスパンダを含むビームデリバリシステムを使って送られる。その他の場合においては、例えば、放射源が水銀ランプである場合、放射源は、リソグラフィ装置の一体部分とすることもできる。放射源SOおよびイルミネータILは、必要ならばビームデリバリシステムとともに、放射システムと呼んでもよい。
[0040] イルミネータILは、放射ビームの角強度分布を調節するように構成された調節デバイス(アジャスタ)を含むことができる。一般に、イルミネータの瞳面内の強度分布の少なくとも外側および/または内側半径範囲(通常、それぞれσ-outerおよびσ-innerと呼ばれる)を調節することができる。さらに、イルミネータILは、インテグレータおよびコンデンサといったさまざまな他のコンポーネントを含むことができる。イルミネータを使って放射ビームを調整すれば、放射ビームの断面に所望の均一性および強度分布をもたせることができる。
[0041] 放射ビームBは、サポート構造(例えば、マスクテーブルMT)上に保持されているパターニングデバイス(例えば、マスクMA)上に入射して、パターニングデバイスによってパターン形成される。マスクMAを通り抜けた後、放射ビームBは投影システムPSを通過し、投影システムPSは、基板Wのターゲット部分C上にビームの焦点をあわせる。第2ポジショナPWおよび位置センサIF2(例えば、干渉計デバイス、リニアエンコーダ、または静電容量センサ)を使って、例えば、さまざまなターゲット部分Cを放射ビームBの経路内に位置決めするように、基板テーブルWTを正確に動かすことができる。同様に、第1ポジショナPMおよび別の位置センサIF1を使い、例えば、マスクライブラリから機械的に取り出した後またはスキャン中に、マスクMAを放射ビームBの経路に対して正確に位置決めすることもできる。
[0042] 通常、マスクテーブルMTの移動は、第1ポジショナPMの一部を形成するロングストロークモジュール(粗動位置決め)およびショートストロークモジュール(微動位置決め)を使って達成することができる。同様に、基板テーブルWTの移動も、第2ポジショナPWの一部を形成するロングストロークモジュールおよびショートストロークモジュールを使って達成することができる。ステッパの場合は(スキャナとは対照的に)、マスクテーブルMTは、ショートストロークアクチュエータのみに連結されてもよく、または固定されてもよい。マスクMAおよび基板Wは、マスクアライメントマークM1およびM2と、基板アライメントマークP1およびP2とを使って、位置合わせされてもよい。例示では基板アライメントマークが専用ターゲット部分を占めているが、基板アライメントマークをターゲット部分とターゲット部分との間の空間内に置くこともできる(これらは、スクライブラインアライメントマークとして公知である)。同様に、複数のダイがマスクMA上に設けられている場合、マスクアライメントマークは、ダイとダイの間に置かれてもよい。
[0043] 例示の装置は、以下に説明するモードのうち少なくとも1つのモードで使用できる。
[0044] 1.ステップモードにおいては、マスクテーブルMTおよび基板テーブルWTを基本的に静止状態に保ちつつ、放射ビームに付けられたパターン全体を一度にターゲット部分C上に投影する(すなわち、単一静的露光)。その後、基板テーブルWTは、Xおよび/またはY方向に移動され、それによって別のターゲット部分Cを露光することができる。ステップモードにおいては、露光フィールドの最大サイズによって、単一静的露光時に結像されるターゲット部分Cのサイズが限定される。
[0045] 2.スキャンモードにおいては、マスクテーブルMTおよび基板テーブルWTを同期的にスキャンする一方で、放射ビームに付けられたパターンをターゲット部分C上に投影する(すなわち、単一動的露光)。マスクテーブルMTに対する基板テーブルWTの速度および方向は、投影システムPSの(縮小)拡大率および像反転特性によって決めることができる。スキャンモードにおいては、露光フィールドの最大サイズによって、単一動的露光時のターゲット部分の幅(非スキャン方向)が限定される一方、スキャン動作の長さによって、ターゲット部分の高さ(スキャン方向)が決まる。
[0046] 3.別のモードにおいては、プログラマブルパターニングデバイスを保持した状態で、マスクテーブルMTを基本的に静止状態に保ち、また基板テーブルWTを動かす、またはスキャンする一方で、放射ビームに付けられているパターンをターゲット部分C上に投影する。このモードにおいては、通常、パルス放射源が採用されており、さらにプログラマブルパターニングデバイスは、基板テーブルWTの移動後ごとに、またはスキャン中の連続する放射パルスと放射パルスとの間に、必要に応じて更新される。この動作モードは、前述の型のプログラマブルミラーアレイといったプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[0047] 上述の使用モードの組合せおよび/またはバリエーション、あるいは完全に異なる使用モードもまた採用可能である。
[0048] 図2は、実際のEUVリソグラフィ装置の概略的な側面図を示している。その物理的な構成は図1に示す装置の構成とは異なるが、同様の動作の原理であることに留意されたい。装置は、放射源コレクタモジュールまたは放射ユニット3、照明システムILおよび投影システムPSを含む。放射ユニット3には放射源7,SOが設けられており、この放射源7,SOは、電磁放射スペクトルのEUV範囲内の放射を放出するように非常に高温の放電プラズマが生成される、例えばXeガスあるいはLi、GdまたはSn蒸気のようなガスまたは蒸気を使用し得る。放電プラズマは、放電の部分的にイオン化されたプラズマを光軸O上に崩壊させることによって生成される。10Pa0.1mbarのXe、Li、Gd、Sn蒸気あるいは任意の他の適切なガスまたは蒸気の分圧は、放射の効率的な生成のために望まれる場合がある。一実施形態では、EUV源としてSn源が適用される。
[0049] 図2の主要部は、放電生成プラズマ(DPP)の形態の放射源7を示す。図の左下の別の詳細は、レーザ生成プラズマ(LPP)を用いた別の形態の放射源を示す。LPP型の放射源では、点火領域7aには、燃料デリバリシステム7bからプラズマ燃料、例えば溶融Snの小滴が供給される。レーザビームジェネレータ7cおよび関連する光学システムは、放射ビームを点火領域に供給する。ジェネレータ7cは、赤外波長、例えば10.6マイクロメータまたは9.4マイクロメータの波長を有するCO2レーザであってよい。あるいは、他の適切なレーザ、例えばそれぞれ1〜11マイクロメータの範囲内の波長を有するレーザが使用されてもよい。レーザビームとの相互作用の際、燃料小滴はプラズマ状態へと変化され、例えば6.7nmの放射または5〜20nmの範囲から選択される他のあらゆるEUV放射を放出し得る。ここではEUVが例として取り上げられているが、他の用途では異なるタイプの放射が生成されてもよい。プラズマで生成される放射は、中間焦点12を有する放射源放射ビームを生成するために楕円または他の適切なコレクタ7dによって集められる。
[0050] 図2の主要部を再び参照すると、放射源SOによって放出される放射は、DPP放射源チャンバ7から、ガスバリアまたは「フォイルトラップ」の形態を有する汚染物質トラップ9を介してコレクタチャンバ8へと送られる。これを以下にさらに説明する。コレクタチャンバ8は、例えば、いわゆるかすめ入射リフレクタの入れ子化されたアレイを含むかすめ入射コレクタである放射コレクタ10を含んでもよい。この目的に適した放射コレクタは、従来技術により知られている。コレクタ10から発散されるEUV放射ビームは、特定の角度の広がり、例えば光軸Oの両側に10度ほどの広がりを有する。左下に示すLPP源では、放射源から放射を集めるために法線入射コレクタ7dが設けられている。
[0051] コレクタ10を通過した放射は、本発明の実施形態によるスペクトル純度フィルタ11を通過する。反射型格子スペクトル純度フィルタとは対照的に、透過型スペクトル純度フィルタ11は放射ビームの方向を変えないことに留意されたい。フィルタ11の実施形態を以下に説明する。放射は、コレクションチャンバ8内のアパーチャから仮想光源点12(すなわち、中間焦点)に合焦される。放射ビーム16は、チャンバ8から照明システムIL内で法線入射リフレクタ13および14を介してレチクルまたはマスクテーブルMT上に位置決めされたレチクルまたはマスクへと反射される。パターン付けされたビーム17が形成され、投影システムPSによって反射要素18および19を介してウェーハWが取り付けられたウェーハステージまたは基板テーブルWT上へと結像される。示されたものより多いエレメントが照明システムILおよび投影システムPSの中に通常存在してもよい。反射要素19のうちの1つは、その前にNAディスク20を有しており、NAディスク20はそこを通るアパーチャ21を有する。アパーチャ21のサイズは、ビームが基板テーブルWTに当たる時にパターン付けされた放射ビーム17によって定められる角度αiを決定する。
[0052] 図2は、仮想光源点12の近くで上流に位置決めされたスペクトル純度フィルタ11を示す。示されていないが別の実施形態では、スペクトル純度フィルタ11は、仮想光源点12に位置決めされてもよく、またはコレクタ10と仮想光源点12との間のあらゆる箇所に位置決めされてもよい。フィルタは、放射経路内の他の場所、例えば、仮想光源点12の下流に配置されてもよい。多数のフィルタを配置してもよい。
[0053] ガスバリアは、本明細書に参考により援用される例えば米国特許第6,614,505号および米国特許第6,359,969号に詳細に説明されるチャネル構造を含んでよい。この汚染物質トラップの目的は、光学システムの要素に衝突する燃料材料または副生成物の入射、および時間にわたるその性能の低下を防ぐか、または少なくとも減少させることである。これらの要素は、コレクタ10およびスペクトル純度フィルタ11を含む。図2の左下に詳細に説明されるLPP源の場合、汚染物質トラップは楕円コレクタ7dを保護する第1トラップ構成9aを含み、さらに任意選択として図9bに示すような更なるトラップ構成を含む。ガスバリアは、汚染物質との化学的相互作用によって、および/または荷電粒子の静電または電磁偏向によって(流体逆流によって)物理的バリアとして機能することができる。実際、できる限り大きな範囲でプラズマ材料を遮断する一方、照明システムへの放射の移動を可能とするためにこれらの方法の組み合わせが採用されてもよい。上記の米国特許で説明したように、Snまたは他のプラズマ材料を化学修飾するために特に水素ラジカルが注入されてもよい。
[0054] 水素ラジカルは、Snおよび光学面上に既に堆積し得る他のものの洗浄のために適用されてもよい。さらに、水素ガスは、システム内のより大きな真空空間へと入るウェーハからの汚染物質に対するバッファとして、ウェーハサポートWTの付近に展開されてもよい。真空環境では、典型的なフォトレジストは(サポートおよび位置決めシステムのコンポーネントは言及しないが)、時間にわたって光コンポーネントを汚染し得る有機および他のガス状材料を解放する傾向がある。
[0055] これらの全ての目的のために、水素源HSは、水素ガスを各汚染物質トラップ構成9aおよび9bに供給するために配置され、照明システムILおよび投影システムPSのチャンバへの出口に配置されて示されている。他のものがHラジカルを生成する一方、一部の放射源は、単一のバッファとして水素分子ガス(H2)を供給し得る。真空環境に浸透する水素分子は、環境における放射、放電等によってラジカル化され得る。
[0056] 図3は、例えばリソグラフィ装置の上記のフィルタ11として適用することができるスペクトル純度フィルタ100の一実施形態の概略正面図である。本フィルタ100は、極端紫外線(EUV)を透過させるように構成されている。更なる実施形態では、フィルタ100は、放射源によって生成される第2タイプの放射、例えば赤外(IR)放射(例えば、約1μmより大きい、特に10μmより大きい波長の赤外放射)を実質的に遮断する。特に、透過されるEUV放射および(遮断される)第2タイプの放射は、同じ放射源、例えばリソグラフィ装置のLPP源SOから発散することができる。
[0057] 記述される実施形態におけるスペクトル純度フィルタ100は、スペクトル純度フィルタの第1領域における略平面フィルタ部分102(例えば、フィルタ膜またはフィルタ層)を含む。このようなフィルタ部分102は、「フィルタ基板」と呼ぶことができる。フィルタ部分102は、極端紫外線を透過させかつ第2タイプの放射の透過を抑制するために複数(好ましくは平行の)アパーチャ104を有する。放射源SOから放射が衝突する面を前面と呼ぶ一方、放射が照明システムILへと出る面を後面と呼ぶことができる。上述したように、例えば、EUV放射は、放射の方向を変化することなくスペクトル純度フィルタによって透過される。一実施形態では、各アパーチャ104は、アパーチャ104を画定して前面から後面へと完全に延在する平行側壁を有する。
[0058] スペクトル純度フィルタ100は、第1領域に隣接するスペクトル純度フィルタの第2領域内にサポートフレーム108を含んでよい。サポートフレーム108は、フィルタ部分102のための構造サポートを提供するように構成されてよい。サポートフレーム108は、使用される装置にスペクトル純度フィルタ100を設置するための部材を含んでよい。特定の構成では、サポートフレーム108はフィルタ部分100を囲んでよい。
[0059] フィルタ100は、独立型の薄膜のシリコン(Si)102および略垂直(すなわち、膜表面に対して垂直である)側壁106を有するアパーチャ104アレイを含んでもよい。アパーチャ104の直径は、EUV放射が実質的な回折を伴わずにスペクトル純度フィルタ100を通り抜けることを可能とするために、望ましくは約100nmより大きい、さらに望ましくは約1μmより大きい。アパーチャ104は、円形断面を有するように概略的に示されているが(図3)、他の形状も可能であり、かつ望まれてもよい。機械的安定性の観点から、例えば六角形のアパーチャ(図4、図5および図6を参照)が有利であり得る。フィルタ100によって抑制される波長は、透過されるEUV波長の少なくとも10xであってよい。特に、フィルタ100は、(約100〜400nmの範囲内の波長を有する)DUV放射および/または1μmより大きい(例えば、1〜11ミクロンの範囲内)の波長を有する赤外放射の透過を抑制するように構成されてよい。
[0060] 本発明の一実施形態によると、スペクトル純度フィルタ100の製造は、以下に簡潔に説明する異方性エッチング方法(適切な例としては深堀り反応性イオンエッチング(DRIE)の技術が挙げられる)を含んでよい。DRIEは、いわゆるボッシュ法を用いてSiにおける垂直エッチプロファイルの製造を可能にする高異方性エッチ率を有するエッチング法である。これは、例えば、S.Tachi,K.Tsujimoto,S.OkudairaによるAppl.Phys.Lett.52(1988),616の「Low−temperature reactive ion etching and microwave plasma etching of silicon」に記載されている。ボッシュ法は、Si表面をSF6プラズマおよびフルオロカーボン(例えばC48)プラズマへ交互にさらすことからなる。第1ステージでは、シリコンはほぼ等方方法でエッチングされる一方、第2ステージでは、エッチングされたプロファイルは不活性化層によって覆われる。次のエッチングでは、この不活性化層は主にイオン衝撃によって好ましくは底部が開放され、エッチングは再び開始する。エッチ/不活性化サイクルの繰り返しにより、エッチングは、横の広がりを伴わずに層ごとにシリコン表面へと下方に進む。
[0061] 一実施形態によると、アパーチャのアスペクト比を十分低く保ち、それによってかなりの角度の広がりを有するEUV透過を可能とするために、EUV放射は、好ましくは比較的薄いフィルタ100を利用してアパーチャ104を直接通過する。フィルタ部分102の厚さ(すなわち、各々のアパーチャ104の長さ)は、例えば約20μmより小さい(例えば約2μm〜約10μmの範囲内、例えば約5μm〜約10μmの範囲内)。さらに、一実施形態によると、アパーチャ104の各々は、約100nm〜約10μmの範囲内の直径を有し得る。各アパーチャ104は、約1.5μm〜約6μmの範囲内、例えば約2μm〜約4μmの範囲内の直径を有する。
[0062] フィルタアパーチャ104間の壁105の厚さQ1は、約1μmより小さくてもよい(例えば約0.4μm〜約0.6μmの範囲内、特に約0.5μm)。通常、アパーチャのアスペクト比、すなわち、フィルタ部分102対フィルタアパーチャ104間の壁の厚さの比率は、5:1〜20:1の範囲内であってよい。EUV透過型フィルタ100のアパーチャは、約3μm〜約6μmの範囲内、特に約3μm〜約4μmの範囲内、例えば約4μmの周期Q2(図4に示す)を有してもよい。結果的に、アパーチャは、フィルタ前面全体の約70〜80%の開放エリアを提供し得る。
[0063] フィルタ100は、多くて5%の赤外光(IR)透過を提供するように構成されてよい。さらに、フィルタ100は、入射EUV放射の少なくとも約60%を法線入射で透過させるように構成されてよい。さらに、フィルタ100は、(法線方向に対して)約10°の入射角を有するEUV放射の透過の少なくとも約40%を提供できる。
[0064] 上記ようにスペクトル純度フィルタを形成する従来の周知の方法では、フィーチャの形成の所望の精度、例えば、高アスペクト比アパーチャ104を提供する単純な製造方法を提供することが困難であることが証明された。特に、シリコンの薄い層から、隣接するアパーチャ104間の壁105などの高アスペクト比フィーチャを形成することが望ましかったが、フィーチャを保護するために追加のプロセスステップが使用されており、したがって、フィーチャは、製造中に薄いシリコン層を支持するために使用されるバルク材料を除去するために必要である後続のエッチングステップから形成される。
[0065] 本発明の一実施形態によると、スペクトル純度フィルタを製造する新しい方法が提供される。ここでは、スペクトル純度フィルタ100のアパーチャ104などといったスペクトル純度フィルタの所望のフィーチャが、例えば、高アスペクト比フィーチャの形成を可能にするために選択される単結晶シリコンなどの基材内に形成される。そのように形成されたフィーチャの表面は、少なくともの基材の露光された層を化学的エッチングに対して未処理の基材より大きい抵抗力を有する異なる材料に変換させるために化学的に処理される。望ましくない材料を除去する後続のプロセスでは、化学的エッチングは、スペクトル純度フィルタのフィーチャに対するさらなる保護を設けることなく進んでよい。
[0066] 図7〜図12は、本発明の一実施形態によるスペクトル純度フィルタの製造における段階を示している。
[0067] 示されているように、プロセスは、第1主要表面121および第2主要表面122を有する基材120の部分から始まってよい。その後、開口部130は、スペクトル純度フィルタの所要のアパーチャ104に対応する基材120の第1表面121に形成されてよい。特に、基材120の第1表面121における開口部130は、スペクトル純度フィルタ100のアパーチャ104の所望の幅と実質的に同じ幅を有してよい。
[0068] 図8に示すように、基材120の第1表面121における開口部130は、第2表面122へと基材を貫通しない。開口部130の深さは、スペクトル純度フィルタ100のフィルタ部分102の厚さに実質的に対応し得る。
[0069] 開口部130と開口部130との間には、スペクトル純度フィルタのアパーチャ104間の所望の壁105に対応する基材120の壁131が残る。したがって、基材120の残留壁131は、スペクトル純度フィルタのアパーチャ104間の壁105と実質的に同じ幅を有してよい。
[0070] 基材120の第1表面121における開口部130は、例えば、リソグラフィプロセスによって、例えば、開口部130が形成されない基材の第1表面121の部分上にマスクパターン135を形成し、その後、露光された基材120をエッチングすることによって形成されてよい。例えば、上記した深堀り反応性イオンエッチングを使用してもよい。開口部130が一度形成されると、残りのマスク材料135を除去してよい。
[0071] 図9に示すように、開口部130が一度形成されると、少なくとも開口部130を隔てる壁131は、基材を、その基材とは異なりかつエッチングプロセスに対して基材より大きい抵抗力を有する第2基材に変換するために、化学的処理される。当然のことであるが、化学的処理の選択は、後に使用されるエッチングプロセスに依存する。
[0072] 一例では、基材120のシリコンが窒化シリコンに変換される窒化プロセスが用いられてよい。あるいは、化学的処理プロセスは、基材が炭化シリコン、硫化シリコンまたは酸化シリコンのそれぞれに変換されるように炭化、硫化または酸化させることを含んでよい。一例では、プラズマ強化窒化を用いてかなりの層の厚さまでシリコンを窒化物に変換することができる。
[0073] 当然のことであるが、化学的処理された基材120の層の厚さによって、開口部130間の壁131における実質的に全ての基材が第2材料に変換されてよい。あるいは、基材120の芯は壁131の中心に残ったままでもよい。当然のことであるが、図9に示すように、基材120の第1表面121における開口部130間の壁130に化学的処理が適用されることに加えて、基材120の実質的に全ての外部表面に化学的処理を適用してもよい。
[0074] 図10に示すように、開口部130の底面130a、すなわち、基材120の第1表面121から最も離れている開口部130の端面が、化学的処理されて基材120を第2材料に変換された場合、開口部130の基部130aにおける第2材料の部分は除去されてよい。
[0075] 例えば、保持されるべき第2材料の部分はマスク層140によって保護されてよく、開口部130の基部130aにおける材料はエッチングによって除去されてよい。例えば、反応性イオンエッチングプロセスを用いてもよい。特に、図10に示すように、開口部130は基材120の第1表面121の第1領域121a内に形成されてよく、第1領域121に隣接する第2領域121bは、上述したようにサポートフレーム108を形成するために保持されてよい。したがって、マスク層140は、基材120の第1表面121の第2領域121b上に設けられてよい。
[0076] 続いて、第2マスク層145が基材120の第2表面122の領域122b上に設けられてよい。領域122bは、第1表面121の第2領域121bに対応しており、すなわち、スペクトル純度フィルタのサポートフレーム108を形成する第2表面122の一部である。
[0077] 反応性イオンエッチングなどのエッチングプロセスを用いて、アパーチャ104が形成されるべきスペクトル純度フィルタ100の領域に対応する基材120の第2表面122の領域122aから第2材料層を除去してよい。以下に説明する後続のエッチングステップが図12に示すように垂直の壁の代わりに傾斜した壁を作り出す場合、第2材料層が除去される領域122aは、図11に示すように、開口部130が形成される基材120の第1表面121の第1領域121aより僅かに大きい場合がある(さらに、それに応じて、マスク層145によって保護された第2表面の領域122bは、基材120の第1表面121の第2領域121bの大きさより小さい場合がある)。例えば、異方性エッチングプロセスにおいては、シリコンの111面は他の方向と比較してより遅くエッチングされてよく、それによってエッチングプロセスはこれらの(傾斜した)平面で効果的に止まる。
[0078] 図12に示すように、基材120は、その後、アパーチャ104が形成されるべき領域に対応する第2表面122の領域122aから除去されてよく、それによって全ての基材120は対応する開口部130の下から除去される。したがって、開口部の領域内の基材の厚さは、開口部がスペクトル純度フィルタ100の第1側面からスペクトル純度フィルタ100の第2側面へと通り抜けるまで減少される。
[0079] 特に、図12に示すように、化学エッチングによって基材120を第2側面122から除去してよい。例えば、水酸化カリウム(KOH)液TMAH(水酸化テトラメチルアンモニウム)を使用してもよい。気相エッチング、プラズマエッチングまたはスパッタリングを使用してもよい。
[0080] さらに、当然のことであるが、望ましい場合、エッチングプロセスは、代替としてまたはそれに加えて、基材120の第1側面121から行われてよく、すなわち、開口部130の基部130aにおける材料をエッチングする。
[0081] 透過が最小となるべき第2タイプの放射に対するスペクトル純度フィルタ100の反射率を上げるために、任意選択として、図13に示すように、さらなる材料層150をスペクトル純度フィルタ100の表面上に形成してもよい。例えば、図13に示すように、さらなる材料層150が、上記したように第2材料に変換され得た基材120の第1表面121の残りの部分上に形成されてよい。例えば、赤外線放射の反射率を上げるために金属層を設けてもよい。
[0082] 上記したように所望の仕様を有するスペクトル純度フィルタ100を製造するための比較的単純な手順を提供する方法に加えて、アパーチャ104間のグリッド構造の壁がシリコン以外の材料から形成されるスペクトル純度フィルタの供給は、さらなる利点を提供し得る。例えば、グリッド構造の壁が窒化シリコンから構成される場合、これは、上記の例のように、高電力EUV源内で予期され得る環境状況に対してより安定性があることが想定される。特に、この材料は、上記したように、想定され得る比較的高い温度および比較的高い水素ラジカル濃度におけるシリコンより安定性があり得る。さらに、スペクトル純度フィルタ100のアパーチャ104間の壁105に金属コーティングが適用されかつ壁がシリコンから構成されている場合、反射金属コーティングのシリコン化が生じ得る。しかしながら、壁が窒化シリコンからなる場合、上記の例のように、これは生じない場合がある。さらに、窒化シリコンは、近赤外領域においてシリコンよりかなり高い放射率を有する(ドーピングレベルおよび温度によって、0.1〜0.7に対して0.9〜0.95)。したがって、スペクトル純度フィルタ100の第2表面122b上の窒化シリコンのコーティングは効果的な放射率を上げ、より低い動作温度へと繋がり得る。
[0083] 当然のことであるが、上記したスペクトル純度フィルタ100を形成する方法の変形形態を用いてもよい。例えば、インプリントリソグラフィを用いて基材120の第1表面121に開口部130を形成してもよい。その代替としてまたはそれに加えて、さらなる処理を用いてもよい。
[0084] 例えば、図14に示すように、開口部130が基材120の第1表面121に一度形成されると、基材120の表面の化学的に処理するステップの前に、マスク層160を開口部130の基部130aに設けてもよい。これは、この領域における窒化シリコンなどの第2材料への基材120の変換を防ぐことができる。その後、開口部130の基部130aから第2材料をエッチングするステップを除去してもよい。
[0085] その代替としてまたはそれに加えて、基材120を第2材料に変換するために基材120を化学的に処理するステップの前に、図15に示すように、開口部130が基材120の第1表面121に形成される領域に対応する基材120の第2表面122の領域122aにマスク層161が設けられてよい。図14に示す変形形態と同様に、これは、図12に示すような基材を第2表面122から化学的にエッチングするステップの前に領域122a内の第2材料をエッチングするステップの要求を除去することができる。
[0086] スペクトル純度フィルタを組み込む図1および図の装置をリソグラフィ製造プロセスに用いてもよいことが理解されるであろう。そのようなリソグラフィ装置は、集積光学システム、磁気ドメインメモリ用のガイダンスパターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造に用いられてもよい。当業者にとっては当然のことであるが、そのような別の用途においては、本明細書で使用される「ウェーハ」または「ダイ」という用語はすべて、それぞれより一般的な「基板」または「ターゲット部分」という用語と同義であるとみなしてよい。本明細書に記載した基板は、露光の前後を問わず、例えば、トラック(通常、基板にレジスト層を塗布し、かつ露光されたレジストを現像するツール)、メトロロジーツール、および/またはインスペクションツールで処理されてもよい。適用可能な場合には、本明細書中の開示内容を上記のような基板プロセシングツールおよびその他の基板プロセシングツールに適用してもよい。さらに基板は、例えば、多層ICを作るために複数回処理されてもよいので、本明細書で使用される基板という用語は、すでに多重処理層を包含している基板を表すものとしてもよい。
[0087] 上記の説明は、制限ではなく例示を意図したものである。したがって、当業者には明らかなように、添付の特許請求の範囲を逸脱することなく本記載の発明に変更を加えてもよい。
[0088] 本発明の実施形態は、放電生成プラズマ源(DPP源)またはレーザ生成プラズマ源(LPP源)を含むがそれらに限定されない、あらゆるタイプのEUV源に対して使用されてもよいことが理解されるであろう。しかしながら、本発明の一実施形態は、特に、典型的にはレーザ生成プラズマ源の一部を形成するレーザ源からの放射を抑制するために適していてよい。これは、そのようなプラズマ源が、多くの場合、レーザから発生する二次放射を出力するからである。
[0089] スペクトル純度フィルタは、実際には放射経路のあらゆる箇所に配置されてもよい。一実施形態では、スペクトル純度フィルタは、EUV放射源からEUVを含む放射を受けてEUV放射を適切な下流EUV放射光学システムへと運ぶ領域内に配置されており、ここでEUV放射源からの放射は、光学システムに入る前にスペクトル純度フィルタを通るように構成されている。一実施形態では、スペクトル純度フィルタはEUV放射源内にある。一実施形態では、スペクトル純度フィルタは、EUVリソグラフィ装置内、例えば照明システムまたは投影システム内にある。一実施形態では、スペクトル純度フィルタは、プラズマの後ではあるがコレクタの前の放射経路内に配置される。
[0090] 以上、本発明の具体的な実施形態を説明してきたが、本発明は、上述以外の態様で実施できることが明らかである。

Claims (14)

  1. 極端紫外線を透過させかつ第2タイプの放射の透過を抑制するように構成された複数のアパーチャを有するスペクトル純度フィルタを製造する方法であって、前記方法は、
    第1主要表面および第2主要表面を有する基材を提供することと、
    前記スペクトル純度フィルタの前記複数のアパーチャに対応する前記基材の前記第1表面に開口部を形成することと、
    少なくとも前記第1表面における前記開口部を囲う前記基材の前記表面を化学的に処理して第2材料層を形成することであって、前記第2材料は、前記基材と異なりかつエッチングプロセスに対して未処理の基材より大きい抵抗力を有する、ことと、
    少なくとも前記開口部の領域において前記基材の厚さを減少させるために前記エッチングプロセスを用いて前記基材をエッチングすることであって、それによって前記開口部が前記基材を通って延在する、ことと
    を含む、方法。
  2. 前記基材は単結晶シリコンであり、前記基材の前記表面を化学的に処理することは、結果として生じる第2材料が、窒化シリコン、炭化シリコン、硫化シリコンおよび酸化シリコンのうちの1つを含むシリコン化合物であるように、窒化、炭化、硫化および酸化させることのうちの1つを含む、請求項1に記載のスペクトル純度フィルタを製造する方法。
  3. 前記基材の厚さを減少させ、それによって前記開口部が前記基材を通って延在するために前記基材が前記基材の第1領域からエッチングされ、前記基材は前記基材の第2領域からエッチングされず、前記第2領域は、下層の基材が前記スペクトル純度フィルタの構造サポートとして機能するように選択される、請求項1または2に記載のスペクトル純度フィルタを製造する方法。
  4. 前記第1表面から最も離れている前記開口部の端面上に形成されたあらゆる前記第2材料を選択的に除去することをさらに含み、前記開口部の前記端面から前記第2材料を選択的に除去することは、任意的に、反応性イオンエッチングすることを含み、前記開口部の前記端面から前記第2材料を選択的に除去することを行う前に、マスク層が前記基材の前記第1表面の前記第2領域に設けられる、請求項3に記載のスペクトル純度フィルタを製造する方法。
  5. 前記基材の厚さを減少させるために前記基材をエッチングする前に前記基材の前記第2表面の前記第1領域から前記第2材料層を選択的に除去することをさらに含む、請求項3または4に記載のスペクトル純度フィルタを製造する方法。
  6. 前記第2表面の前記第1領域から前記第2材料層を選択的に除去するステップは、反応性イオンエッチングすることを含む、請求項5に記載のスペクトル純度フィルタを製造する方法。
  7. 前記第2表面の前記第1領域から前記第2材料層を選択的に除去することを行う前に、マスク層が前記基材の前記第2表面の前記第2領域に設けられる、請求項5または6に記載のスペクトル純度フィルタを製造する方法。
  8. 極端紫外線を透過させかつ第2タイプの放射の透過を抑制するように構成された複数のアパーチャを有するグリッドを含むスペクトル純度フィルタであって、前記グリッドは、前記アパーチャを互いから離す壁をさらに含み、前記壁は、シリコン化合物から実質的に形成される、スペクトル純度フィルタ。
  9. 前記シリコン化合物は、窒化シリコン、炭化シリコン、硫化シリコンおよび酸化シリコンからなる群から選択される、請求項8に記載のスペクトル純度フィルタ。
  10. 請求項1〜7のうちのいずれかに記載の方法によって製造される請求項8または9に記載のスペクトル純度フィルタ。
  11. 請求項8〜10のうちのいずれかに記載のスペクトル純度フィルタを含む放射源。
  12. 請求項8〜10のうちのいずれかに記載のスペクトル純度フィルタを含むリソグラフィ装置。
  13. 放射源であって、
    極端紫外線を透過させかつ第2タイプの放射の透過を抑制するように構成された複数のアパーチャを含むグリッドと、
    前記アパーチャを互いから離す壁であって、前記壁はシリコン化合物から実質的に形成される、壁と
    を含むスペクトル純度フィルタを含む、放射源。
  14. リソグラフィ装置であって、
    極端紫外線および第2タイプの放射を含む放射を生成するように構成された放射源と、
    前記極端紫外線を透過させかつ前記第2タイプの放射の透過を抑制するように構成されたスペクトル純度フィルタであって、
    前記極端紫外線を透過させかつ前記第2タイプの放射の透過を抑制するように構成された複数のアパーチャを含むグリッドと、
    前記アパーチャを互いから離す壁であって、前記壁はシリコン化合物から実質的に形成される、壁と
    を含むスペクトル純度フィルタと、
    前記グリッドによって透過された前記極端紫外線をパターン付けするように構成されたパターニングデバイスを支持するように構成されたサポートと
    前記パターン付き放射を基板上に投影するように構成された投影システムと
    を含む、リソグラフィ装置。
JP2012525956A 2009-08-27 2010-07-16 スペクトル純度フィルタ、リソグラフィ装置およびスペクトル純度フィルタを製造する方法 Withdrawn JP2013503357A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US23761409P 2009-08-27 2009-08-27
US61/237,614 2009-08-27
PCT/EP2010/060295 WO2011023454A1 (en) 2009-08-27 2010-07-16 Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter

Publications (1)

Publication Number Publication Date
JP2013503357A true JP2013503357A (ja) 2013-01-31

Family

ID=43034133

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012525956A Withdrawn JP2013503357A (ja) 2009-08-27 2010-07-16 スペクトル純度フィルタ、リソグラフィ装置およびスペクトル純度フィルタを製造する方法

Country Status (7)

Country Link
US (1) US20120154779A1 (ja)
JP (1) JP2013503357A (ja)
KR (1) KR20120048701A (ja)
CN (1) CN102483586A (ja)
NL (1) NL2005098A (ja)
TW (1) TW201122569A (ja)
WO (1) WO2011023454A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017538974A (ja) * 2014-11-26 2017-12-28 ジャイスワル、スプリヤ リソグラフィーおよび他の用途において極紫外線と使用するための材料、コンポーネントおよび方法

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9594306B2 (en) 2011-03-04 2017-03-14 Asml Netherlands B.V. Lithographic apparatus, spectral purity filter and device manufacturing method
RU2524509C1 (ru) * 2013-04-25 2014-07-27 федеральное государственное автономное образовательное учреждение высшего профессионального образования "Национальный исследовательский ядерный университет МИФИ" (НИЯУ МИФИ) СПОСОБ ПОЛУЧЕНИЯ ТОНКИХ ЭПИТАКСИАЛЬНЫХ СЛОЕВ β-SIC НА КРЕМНИИ МОНОКРИСТАЛЛИЧЕСКОМ
CN111580340B (zh) * 2020-05-28 2023-03-31 南京南智先进光电集成技术研究院有限公司 一种中红外滤光片的制备方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1008352C2 (nl) 1998-02-19 1999-08-20 Stichting Tech Wetenschapp Inrichting, geschikt voor extreem ultraviolet lithografie, omvattende een stralingsbron en een verwerkingsorgaan voor het verwerken van de van de stralingsbron afkomstige straling, alsmede een filter voor het onderdrukken van ongewenste atomaire en microscopische deeltjes welke door een stralingsbron zijn uitgezonden.
US6614505B2 (en) 2001-01-10 2003-09-02 Asml Netherlands B.V. Lithographic projection apparatus, device manufacturing method, and device manufactured thereby
WO2003102633A2 (en) * 2002-06-04 2003-12-11 Lake Shore Cryotronics, Inc. Spectral filter for green and shorter wavelengths and method of manufacturing same
US7453645B2 (en) 2004-12-30 2008-11-18 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017538974A (ja) * 2014-11-26 2017-12-28 ジャイスワル、スプリヤ リソグラフィーおよび他の用途において極紫外線と使用するための材料、コンポーネントおよび方法

Also Published As

Publication number Publication date
KR20120048701A (ko) 2012-05-15
CN102483586A (zh) 2012-05-30
NL2005098A (en) 2011-03-01
TW201122569A (en) 2011-07-01
WO2011023454A1 (en) 2011-03-03
US20120154779A1 (en) 2012-06-21

Similar Documents

Publication Publication Date Title
JP5844154B2 (ja) スペクトル純度フィルタ、リソグラフィ装置およびスペクトル純度フィルタを製造する方法
US9523921B2 (en) EUV radiation system and lithographic apparatus
US20120147350A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
TWI504941B (zh) 多層鏡、微影裝置或輻射源及改良一多層鏡之強健度的方法
US20100328639A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
JP2011018903A (ja) 放射システムおよびリソグラフィ装置
JP2010045357A (ja) 放射源および放射を生成する方法
US20120170015A1 (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
JP5715134B2 (ja) スペクトル純度フィルタ及びスペクトル純度フィルタの製造方法
JP2013503357A (ja) スペクトル純度フィルタ、リソグラフィ装置およびスペクトル純度フィルタを製造する方法
US8232537B2 (en) Radiation source, lithographic apparatus and device manufacturing method
US20120182537A1 (en) Spectral purity filter, lithographic apparatus, and device manufacturing method
JP2010045355A (ja) 放射源、リソグラフィ装置、および、デバイス製造方法
KR102401702B1 (ko) 포토마스크를 제작 및 제공하는 방법
TW201337470A (zh) 輻射源與用於微影裝置及元件製造之方法
CN115524929A (zh) 极紫外光源设备及其操作方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20131001