KR20120048701A - 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법 - Google Patents

스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법 Download PDF

Info

Publication number
KR20120048701A
KR20120048701A KR1020127007773A KR20127007773A KR20120048701A KR 20120048701 A KR20120048701 A KR 20120048701A KR 1020127007773 A KR1020127007773 A KR 1020127007773A KR 20127007773 A KR20127007773 A KR 20127007773A KR 20120048701 A KR20120048701 A KR 20120048701A
Authority
KR
South Korea
Prior art keywords
base material
radiation
spectral purity
purity filter
apertures
Prior art date
Application number
KR1020127007773A
Other languages
English (en)
Inventor
보우터 소어
마르틴 야크
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20120048701A publication Critical patent/KR20120048701A/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/204Filters in which spectral selection is performed by means of a conductive grid or array, e.g. frequency selective surfaces
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/208Filters for use with infrared or ultraviolet radiation, e.g. for separating visible light from infrared and/or ultraviolet radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/10Scattering devices; Absorbing devices; Ionising radiation filters

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

기저 재료의 제 1 표면에 스펙트럼 퓨리티 필터의 복수의 어퍼처들에 대응하는 개구부들이 형성되는 스펙트럼 퓨리티 필터를 제조하는 방법이 제공된다. 적어도 제 1 표면에 개구부들을 둘러싸는 기저 재료의 표면들은 제 2 재료의 층을 형성하도록 화학적으로 처리되며, 개구부들이 기저 재료의 제 1 표면으로부터 기저 재료의 제 2 표면으로 연장되도록 상기 기저 재료는 제 2 표면으로부터 에칭된다.

Description

스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법{SPECTRAL PURITY FILTER, LITHOGRAPHIC APPARATUS, AND METHOD FOR MANUFACTURING A SPECTRAL PURITY FILTER}
본 출원은 2009년 8월 27일 출원된 US 가출원 61/237,614의 이익을 주장하며, 이는 본 명세서에서 그 전문이 인용참조된다.
본 발명은 스펙트럼 퓨리티 필터(spectral purity filter), 이러한 스펙트럼 퓨리티 필터를 포함한 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법에 관한 것이다.
리소그래피 장치는 기판 상으로, 통상적으로는 기판의 타겟부 상으로 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼)의 (예를 들어, 다이의 일부분, 한 개 또는 수 개의 다이를 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 알려진 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 스테퍼들, 및 방사선 빔을 통해 주어진 방향("스캐닝" 방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향(같은 방향으로 평행한 방향) 또는 역-평행 방향(반대 방향으로 평행한 방향)으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는 스캐너들을 포함한다. 또한, 기판 상으로 패턴을 임프린트(imprint)함으로써 패터닝 디바이스로부터 기판으로 패턴을 전사할 수도 있다.
패턴 프린팅을 제한하는 주요한 인자(key factor)는 사용되는 방사선의 파장(λ)이다. 기판 상으로 훨씬 더 작은 구조체들을 투영할 수 있도록, 10 내지 20 nm 범위, 예를 들어 13 내지 14 nm 범위 내의 파장을 갖는 전자기 방사선인 극자외(EUV) 방사선을 사용하는 것이 제안되었다. 또한, 10 nm보다 짧은 파장을 갖는 EUV 방사선이, 예를 들어 6.7 nm 또는 6.8 nm와 같은 5 내지 10 nm 범위 내에서 사용될 수 있다는 것이 제안되었다. 이러한 EUV 방사선은 때때로 소프트 x-레이(soft x-ray)라고 칭해진다. 가능한 소스들로는, 예를 들어 레이저-생성 플라즈마 소스(laser-produced plasma source), 방전-생성 플라즈마 소스(discharge-produced plasma source), 또는 전자 저장 링(electron storage ring)으로부터의 싱크로트론 방사선(synchrotron radiation)을 포함한다.
주석(Sn) 플라즈마에 기초한 EUV 소스들은 원하는 대역내(in-band) EUV 방사선을 방출할 뿐 아니라, 특히 DUV 범위(100 내지 400 nm)의 대역외(out-of-band) 방사선도 방출한다. 또한, 레이저-생성 플라즈마(LPP) EUV 소스들의 경우, 레이저로부터의 적외 방사선 - 통상적으로, 10.6 ㎛ - 은 상당량의 바람직하지 않은 방사선을 제공할 수 있다. EUV 리소그래피 시스템의 광학기가 일반적으로 이 파장들에서 실질적인 반사율(substantial reflectivity)을 갖기 때문에, 조치가 취해지지 않으면 바람직하지 않은 방사선이 상당한 파워(significant power)로 리소그래피 툴에 전파된다.
리소그래피 장치에서, 대역외 방사선은 몇몇 이유로 최소화되어야 한다. 첫째, 레지스트가 대역외 파장에 민감하므로, 이미지 품질이 저하될 수 있다. 둘째, 바람직하지 않은 방사선, 특히 LPP 소스들의 10.6 ㎛ 방사선이 마스크, 웨이퍼 및 광학기의 바람직하지 않은 가열을 초래한다. 바람직하지 않은 방사선을 특정한 한계에 이르게 하도록, 스펙트럼 퓨리티 필터(SPF)가 개발되고 있다. 스펙트럼 퓨리티 필터들은 EUV 방사선에 대해 반사형이거나 투과형일 수 있다. 반사형 SPF의 구현은 기존 거울의 수정 또는 추가 반사 요소의 삽입을 수반한다. 투과형 SPF는 통상적으로 컬렉터(collector)와 일루미네이터 사이에 배치되며, 원칙적으로는 적어도 방사선 경로에 영향을 미치지 않는다. 이는 다른 SPF와의 양립성(compatibility) 및 유연성을 유도하기 때문에 유리하다.
그리드 SPF는, 바람직하지 않은 방사선이 EUV 방사선보다 훨씬 더 큰 파장을 가질 때, 예를 들어 LPP 소스들에서 10.6 ㎛인 경우에 사용될 수 있는 투과성 SPF의 한 부류를 형성한다. 그리드 SPF는 억제될 파장 대(order of the wavelength)의 크기를 갖는 어퍼처(aperture)들을 포함한다. 억제 메커니즘은, 종래 기술 그리고 본 명세서의 상세한 실시예들에 추가로 설명되는 바와 같이 상이한 타입의 그리드 SPF에 따라 달라질 수 있다. EUV 방사선의 파장(13.5 nm)이 어퍼처들의 크기(통상적으로 > 3 ㎛)보다 훨씬 더 작기 때문에, EUV 방사선은 실질적인 회절 없이 어퍼처들을 통해 투과된다.
종래의 몇몇 스펙트럼 퓨리티 필터(SPF)들은 바람직하지 않은 방사선을 억제하기 위해 미크론-크기의 어퍼처들을 갖는 그리드에 의지한다. 미국 특허 출원 공개공보 2006/0146413은 20 ㎛ 이하의 직경을 갖는 어퍼처들의 어레이를 포함하는 스펙트럼 퓨리티 필터(SPF)를 개시한다. 방사선 파장에 비교된 어퍼처들의 크기에 따라, SPF는 상이한 메커니즘들에 의해 바람직하지 않은 방사선을 억제할 수 있다. 어퍼처 크기가 (바람직하지 않은) 파장의 약 절반보다 작은 경우, SPF는 이 파장의 거의 모든 방사선(virtually all radiation)을 반사시킨다. 어퍼처 크기가 더 크지만 여전히 그 파장 대를 갖는 경우, 방사선은 적어도 부분적으로 회절되며, 어퍼처 내부의 도파관(waveguide)에 흡수될 수 있다.
이러한 SPF에 대한 근사 재료 파라미터들 및 사양들(approximate material parameters and specifications)이 알려져 있다. 하지만, 이 사양들에서 제조는 간단하지 않다(not straightforward). 가장 어려운(most challenging) 사양들은: 통상적으로 직경이 4 ㎛인 어퍼처들; 통상적으로 5 내지 10 ㎛의 그리드 두께; 최대 EUV 투과를 보장하는 어퍼처들 사이의 매우 얇고(통상적으로 < 1 ㎛) 평행한[좁아지지 않는(non-tapered)] 벽들이다.
반도체 제조에 잘 알려진 포토리소그래피 패터닝 및 비등방성 에칭 공정(anisotropic etching process)을 이용하여, 이러한 그리드의 제조에 알맞은 재료(promising material)로서 실리콘이 대두하였다. 잘-제어된 단면(well-controlled cross-section)을 갖는 깊은 어퍼처(deep aperture)들에 대하여는, 요구되는 사양을 갖는 EUV 스펙트럼 퓨리티 필터를 제조하는 방법을 제공하는데 있어서 문제점들이 있긴 하지만, 심도 반응성 이온 에칭(deep reactive ion etching: DRIE)이 알맞은 것으로 밝혀졌다.
본 발명의 일 실시형태는, EUV 스펙트럼 퓨리티 필터에 원하는 사양들을 제공하고 구현하기가 비교적 간단한 EUV 스펙트럼 퓨리티 필터를 제조하는 방법을 제공하는 것이다.
본 발명의 일 실시형태에 따르면, 극자외 방사선을 투과시키고 제 2 형태의 방사선의 투과를 억제하도록 구성된 복수의 어퍼처들을 갖는 스펙트럼 퓨리티 필터를 제조하는 방법이 제공되며, 상기 방법은, 제 1 및 제 2 주요 표면들을 갖는, 단결정 실리콘(monocrystalline silicon)과 같은 기저 재료(base material)를 제공하는 단계; 상기 기저 재료의 상기 제 1 표면에, 상기 스펙트럼 퓨리티 필터의 요구되는 어퍼처들에 대응하는 개구부들을 형성하는 단계; 상기 기저 재료와 상이하며 처리되지 않은 기저 재료에 비해 에칭 공정에 더 큰 저항을 갖는 제 2 재료의 층을 형성하도록, 적어도 상기 제 1 표면의 개구부들을 둘러싸는 상기 기저 재료의 표면들을 화학적으로 처리하는 단계; 및 상기 개구부들이 상기 기저 재료를 통해 연장될 수 있도록, 적어도 상기 개구부들의 영역에서 상기 기저 재료의 두께를 감소시키기 위해, 상기 에칭 공정을 이용하여 상기 기저 재료를 에칭하는 단계를 포함한다.
제 2 재료를 형성하기 위해 기저 재료의 표면들을 화학적으로 처리하는 단계는, 적어도 기저 재료의 모든 표면 상의 기저 재료의 층이 제 2 재료로 전환되도록 구성된다. 선택적으로, 기저 재료를 에칭하는 단계는 수산화칼륨을 이용하여 에칭하는 단계를 포함하고, 기저 재료의 표면들을 화학적으로 처리하는 단계는 수산화칼륨을 이용한 에칭에 대해 처리되지 않은 기저 재료보다 큰 저항을 갖는 제 2 재료를 유도한다. 기저 재료는 제 2 표면으로부터 에칭될 수 있다. 기저 재료의 표면들을 화학적으로 처리하는 단계는, 기저 재료의 제 1 표면에 형성된 인접한 개구부들 사이의 실질적으로 모든 기저 재료가 제 2 재료로 전환되도록 구성될 수 있다. 상기 기저 재료의 제 1 표면에 개구부들을 형성하는 단계는 심도 반응성 이온 에칭(deep reactive-ion etching)을 포함할 수 있다. 스펙트럼 퓨리티 필터를 제조하는 방법은, 선택적으로 반응성 이온 에칭을 포함하여, 예를 들어 반응성 이온 에칭을 이용하여, 제 1 표면으로부터 가장 멀리 있는 개구부들의 끝 표면(end surface)에 형성된 제 2 재료의 일 부분을 선택적으로 제거하는 단계를 포함한다.
기저 재료를 에칭하는 단계는 개구부들 주위의 기저 재료의 두께를 2 ㎛ 내지 10 ㎛의 범위까지 감소시킬 수 있다. 상기 개구부들은, 인접한 개구부들을 분리시키는 재료의 폭이 1 ㎛보다 작도록 형성될 수 있다. 인접한 개구부들을 분리시키는 재료의 폭에 대한, 개구부들의 영역의 기저 재료의 결과적인 두께의 비는 5:1 내지 20:1 범위에 있을 수 있다. 상기 방법은 제 2 형태의 방사선에 반사성인 금속과 같은 재료로 기저 재료의 제 1 및 제 2 표면 중 적어도 하나를 코팅하는 단계를 더 포함할 수 있다.
본 발명의 일 실시형태에 따르면, 상기 방법에 따라 제조된 스펙트럼 퓨리티 필터가 제공된다.
본 발명의 일 실시형태에 따르면, 극자외 방사선을 투과시키고 제 2 형태의 방사선의 투과를 억제하도록 구성된 복수의 어퍼처들을 갖는 그리드를 포함하는 스펙트럼 퓨리티 필터가 제공되며, 상기 그리드는 어퍼처들을 서로 분리시키는 벽들을 더 포함하고, 상기 벽들은 실질적으로 실리콘 질화물과 같은 실리콘 화합물로 형성된다.
본 발명의 일 실시형태에 따르면, 상기 방법에 따라 제조된 스펙트럼 퓨리티 필터를 포함하는 리소그래피 장치 및 방사선 소스가 제공된다.
본 발명의 일 실시형태에 따르면, 극자외 방사선을 투과시키고 제 2 형태의 방사선의 투과를 억제하도록 구성된 복수의 어퍼처들을 포함하는 그리드 및 상기 어퍼처들을 서로 분리시키는 벽들을 포함하는 스텍트럼 퓨리티 필터를 포함하는 방사선 소스가 제공되며, 상기 벽들은 실질적으로 실리콘 화합물로 형성된다.
본 발명의 일 실시형태에 따르면, 극자외 방사선 및 제 2 형태의 방사선을 포함하는 방사선을 생성하도록 구성된 방사선 소스, 그리고 상기 극자외 방사선을 투과시키고 상기 제 2 형태의 방사선의 투과를 억제하도록 구성된 스펙트럼 퓨리티 필터를 포함하는 리소그래피 장치가 제공된다. 상기 스펙트럼 퓨리티 필터는 극자외 방사선을 투과시키고 상기 제 2 형태의 방사선의 투과를 억제하도록 구성된 복수의 어퍼처들을 포함하는 그리드, 및 상기 어퍼처들을 서로 분리시키는 벽들을 포함하고, 상기 벽들은 실질적으로 실리콘 화합물로 형성된다. 또한, 상기 장치는, 패터닝 디바이스를 지지하도록 구성된 지지체 - 상기 패터닝 디바이스는 그리드에 의해 투과된 극자외 방사선을 패터닝하도록 구성됨 -, 및 기판 상으로 패터닝된 방사선을 투영하도록 구성된 투영 시스템을 포함한다.
이하, 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본 발명의 실시예들을 설명할 것이다:
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 개략적으로 도시하는 도면;
도 2는 본 발명의 일 실시예에 따른 리소그래피 장치의 레이아웃을 도시하는 도면;
도 3은 본 발명의 일 실시예에 따른 스펙트럼 퓨리티 필터의 정면도;
도 4는 본 발명의 일 실시예에 따른 스펙트럼 퓨리티 필터의 일 변형의 상세도;
도 5는 본 발명의 일 실시예에 따른, 제조 중간 단계에서의 스펙트럼 퓨리티 필터의 기울어진 단면(tilted cross-section)의 현미경 이미지를 도시한 도면;
도 6은 도 5의 스펙트럼 퓨리티 필터에서 2 개의 어퍼처들 사이의 벽의 더 상세한 이미지를 도시한 도면;
도 7 내지 도 13은 본 발명의 일 실시예에 따른 스펙트럼 퓨리티 필터의 제조 단계들을 도시한 도면;
도 14는 도 7 내지 도 13에 도시된 방법의 일 변형인 스펙트럼 퓨리티 필터를 제조하는 공정 단계를 도시한 도면; 및
도 15는 도 7 내지 도 13에 도시된 방법의 일 변형인 스펙트럼 퓨리티 필터를 제조하는 공정 단계를 도시한 도면이다.
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 개략적으로 도시한다. 방사선 빔(B)(예를 들어, UV 방사선 또는 EUV 방사선)을 컨디셔닝(condition)하도록 구성된 조명 시스템(일루미네이터)(IL); 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 소정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT); 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되고, 소정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제 2 위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 패터닝 디바이스(MA)에 의해 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 지향, 성형 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 형태의 광학 구성요소들, 또는 여하한의 그 조합과 같은 다양한 형태의 광학 구성요소들을 포함할 수 있다.
지지 구조체는 패터닝 디바이스의 무게를 지지한다, 즉 지탱한다. 이는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 지지 구조체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 정전기, 또는 다른 클램핑 기술들을 이용할 수 있다. 지지 구조체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부에 패턴을 생성하기 위해서 방사선 빔의 단면에 패턴을 부여하는데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. EUV 리소그래피에 대한 현재 제안(Present proposal)들은 도 1에 도시된 바와 같이 반사 패터닝 디바이스들을 이용한다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 다양한 하이브리드(hybrid) 마스크 타입들뿐만 아니라, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 사용되는 노광 방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 내포하는 것으로서 폭넓게 해석되어야 한다.
본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다. EUV 파장에 대하여, 투과성 재료들이 쉽게 이용될 수 없다. 그러므로, EUV 시스템에서 조명 및 투영용 "렌즈들"은 일반적으로 반사형 타입, 이를테면 곡면 거울(curved mirror)들로 구성될 것이다.
리소그래피 장치는 2 개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1 이상의 테이블이 노광에 사용되고 있는 동안 1 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위해서, 기판의 전체 또는 일부분이 비교적 높은 굴절률을 갖는 액체, 예컨대 물로 덮일 수 있는 형태로도 구성될 수 있다. 또한, 침지 액체는 리소그래피 장치 내의 다른 공간들, 예를 들어 마스크와 투영 시스템 사이에도 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 기술로 당업계에 잘 알려져 있다. 본 명세서에서 사용되는 "침지"라는 용어는 기판과 같은 구조체가 액체 내에 담그어져야 함을 의미하는 것이라기보다는, 노광 시 액체가 예를 들어 투영 시스템과 기판 사이에 놓이기만 하면 된다는 것을 의미한다.
도 1을 참조하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선을 수용한다. 예를 들어, 소스가 엑시머 레이저(excimer laser)인 경우, 상기 소스 및 리소그래피 장치는 별도의 개체일 수 있다. 이러한 경우, 상기 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선은 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더(beam expander)를 포함하는 빔 전달 시스템의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 소스가 수은 램프인 경우, 상기 소스는 리소그래피 장치의 통합부일 수 있다. 상기 소스(SO) 및 일루미네이터(IL)는, 필요에 따라 빔 전달 시스템과 함께 방사선 시스템이라고 칭해질 수 있다.
상기 일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하도록 구성된 조정 디바이스(조정기)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 평면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로, 각각 외측-σ 및 내측-σ라 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(integrator) 및 콘덴서(condenser)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝하는데 사용될 수 있다.
상기 방사선 빔(B)은 지지 구조체(예를 들어, 마스크 테이블: MT)에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크: MA)에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 마스크(MA)를 가로질렀으면, 방사선 빔(B)은 투영 시스템(PS)을 통과하며, 기판(W)의 타겟부(C) 상으로 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF2)[예를 들어, 간섭계 디바이스(interferometric device), 리니어 인코더(linear encoder), 또는 용량성 센서(capacitive sensor)]의 도움으로, 기판 테이블(WT)은, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치 센서(IF1)는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치시키는데 사용될 수 있다.
일반적으로, 마스크 테이블(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 마스크 테이블(MT)은 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 이용하여 정렬될 수 있다. 비록 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들에 위치될 수도 있다[이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있다]. 이와 유사하게, 마스크(MA)에 1 이상의 다이가 제공되는 상황들에서, 마스크 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 1 이상에서 사용될 수 있다:
1. 스텝 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 본질적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한번에 타겟부(C) 상으로 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광 시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 마스크 테이블(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광 시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 마스크 테이블(MT)은 프로그램가능한 패터닝 디바이스를 유지하여 본질적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C) 상으로 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되며, 프로그램가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 타입의 프로그램가능한 거울 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
도 2는 실제 EUV 리소그래피 장치의 개략적 측면도를 나타낸다. 비록, 물리적인 구성이 도 1에 나타낸 장치의 구성과 상이하더라도, 작동 원리는 유사하다는 것을 유의한다. 상기 장치는 소스-컬렉터-모듈 또는 방사선 유닛(3), 조명 시스템(IL), 및 투영 시스템(PS)을 포함한다. 방사선 유닛(3)에는, 전자기 방사선 스펙트럼 중 EUV 범위의 방사선을 방출하도록 초고온 방전 플라즈마(very hot discharge plasma)가 생성되는, 예를 들어 Xe 가스 또는 Li, Gd 또는 Sn 증기와 같은 가스 또는 증기를 이용할 수 있는 방사선 소스(7, SO)가 제공된다. 방전 플라즈마는 전기 방전의 부분적으로 이온화된 플라즈마를 광축(O) 상으로 붕괴(collapse)시킴으로써 생성된다. 방사선의 효율적인 생성을 위해, Xe, Li, Gd, Sn 증기 또는 여하한의 다른 적절한 가스 또는 증기의 10 Pa 0.1 mbar의 분압(partial pressure)이 요구될 수 있다. 일 실시예에서는, EUV 소스로서 Sn 소스가 적용된다.
도 2의 주요 부분은 방전 생성 플라즈마(DPP) 형태의 방사선 소스(7)를 예시한다. 도면의 좌측 아래쪽의 대안적인 세부도는 레이저 생성 플라즈마(LPP)를 이용하는 대안적인 형태의 소스를 예시한다. LPP 타입의 소스에서, 점화 영역(7a)에는 연료 전달 시스템(7b)으로부터 플라즈마 연료, 예를 들어 용해된 Sn의 액적(droplet)들이 공급된다. 레이저 빔 생성기(7c) 및 연계된 광학 시스템은 점화 영역으로 방사선 빔을 전달한다. 레이저 빔 생성기(7c)는 적외선 파장, 예를 들어 10.6 마이크로미터 또는 9.4 마이크로미터를 갖는 CO2 레이저일 수 있다. 대안적으로, 예를 들어 1 내지 11 마이크로미터 범위의 각 파장들을 갖는 다른 적합한 레이저들이 사용될 수 있다. 레이저 빔과의 상호작용 시, 연료 액적들은, 예를 들어 6.7 nm 방사선, 또는 5 내지 20 nm 범위로부터 선택된 여하한의 다른 EUV 방사선을 방출할 수 있는 플라즈마 상태로 바뀔 수 있다. EUV는 본 명세서와 관련된 예시이며, 다른 적용들에서는 다른 타입의 방사선이 생성될 수 있다. 플라즈마에서 생성된 방사선은 타원형 또는 다른 적합한 컬렉터(7d)에 의해 수집되어, 중간 포커스(12)를 갖는 소스 방사선 빔을 생성한다.
도 2의 주요 부분으로 되돌아가면, 방사선 소스(SO)에 의해 방출된 방사선은 가스 방벽(gas barrier) 또는 "포일 트랩(foil trap)"의 형태로 된 오염물 트랩(9)을 통해 DPP 소스 챔버(7)로부터 컬렉터 챔버(8)로 통과된다. 이는 아래에 더 자세히 설명될 것이다. 컬렉터 챔버(8)는 방사선 컬렉터(10)를 포함할 수 있으며, 상기 방사선 컬렉터(10)는 소위 스침 입사 반사기(grazing incidence reflector)들의 네스티드 어레이(nested array)를 포함하는 스침 입사 컬렉터이다. 이 목적에 적합한 방사선 컬렉터들은 종래 기술로부터 알려져 있다. 상기 컬렉터(10)로부터 나온 EUV 방사선의 빔은 광축(O)의 어느 한 측면에 소정의, 아마도 10°의 각도 확산(angular spread)를 가질 것이다. 좌측 아래쪽에 도시된 LPP 소스에는, 상기 소스로부터 방사선을 수집하기 위해 수직 입사 컬렉터(normal incidence collector: 7)가 제공된다.
컬렉터(10)에 의해 통과된 방사선은, 본 발명의 실시예들에 따른 스펙트럼 퓨리티 필터(11)를 통해 투과된다. 반사형 격자 스펙트럼 퓨리티 필터와 대조적으로, 투과형 스펙트럼 퓨리티 필터(11)는 방사선 빔의 방향을 변화시키지 않는다는 것을 유의하여야 한다. 상기 필터(11)의 예시들이 아래에 설명된다. 방사선은 컬렉터 챔버(8)의 어퍼처로부터 가상 소스 지점(12)(즉, 중간 포커스)에 포커스된다. 챔버(8)로부터, 방사선 빔(16)은 조명 시스템(IL)에서 수직 입사 반사기들(13, 14)을 통해, 레티클 또는 마스크 테이블(MT)에 위치된 레티클 또는 마스크 상으로 반사된다. 패터닝된 빔(17)이 형성되며, 이 빔(17)은 투영 시스템(PS)에 의하여 반사 요소들(18, 19)을 통해 웨이퍼 스테이지 또는 기판 테이블(WT)에 장착된 웨이퍼(W) 상으로 이미징된다. 일반적으로, 조명 시스템(IL) 및 투영 시스템(PS)에는 도시된 것보다 더 많은 요소들이 존재할 수 있다. 반사 요소들(19) 중 하나는 그 정면에 어퍼처(21)가 있는 NA 디스크(20)를 갖는다. 상기 어퍼처(21)의 크기는, 패터닝된 방사선 빔이 기판 테이블(WT)에 부딪힐 때, 이 패터닝된 방사선 빔(17)에 의해 마주 대한(subtended) 각도(α i)를 결정한다.
도 2는 가상 소스 지점(12)의 거의 상류에 위치된 스펙트럼 퓨리티 필터(11)를 도시한다. 도시되지 않은 대안적인 실시예에서, 스펙트럼 퓨리티 필터(11)는 가상 소스 지점(12)에 위치될 수 있거나, 컬렉터(10)와 가상 소스 지점(12) 사이의 여하한의 지점에 위치될 수도 있다. 상기 필터는 방사선 경로의 다른 위치들에, 예를 들어 가상 소스 지점(12)의 하류에 배치될 수 있다. 다수의 필터들이 놓일 수 있다.
가스 방벽은, 예를 들어 미국 특허 6,614,505 및 6,359,969에 자세히 개시된 바와 같은 채널 구조체를 포함할 수 있으며, 이는 본 명세서에서 전문이 인용참조된다. 이 오염물 트랩의 목적은, 광학 시스템의 요소들에 도달하여 시간이 지남에 따라 그들의 성능을 저하시키는 연료 물질 또는 부산물들의 입사를 방지하거나 적어도 감소시키는 것이다. 이러한 요소들은 컬렉터(10) 및 스펙트럼 퓨리티 필터(11)를 포함한다. 도 2의 좌측 아래에 자세히 도시된 LPP 소스의 경우, 오염물 트랩은 타원형 컬렉터(elliptical collector: 7d)를 보호하는 제 1 트랩 구성(first trap arrangement: 9a), 및 선택적으로는 9b로 나타낸 바와 같은 추가 트랩 구성들을 포함할 수 있다. 가스 방벽은 오염물과의 화학적 상호작용에 의해 및/또는 하전된 입자들의 정전기 또는 전자기 편향에 의해, [유체 대향류(fluid counter-flow)에 의해] 물리적 방벽으로서 작용할 수 있다. 실제로는, 플라즈마 물질을 가능한 최대한으로 차단하면서 조명 시스템 내로 방사선의 전달을 허용하기 위해 이러한 방법들의 조합이 이용된다. 앞서 언급된 미국 특허들에 설명된 바와 같이, Sn 또는 다른 플라즈마 물질들을 화학적으로 변형하기 위해, 특히 수소 라디칼(hydrogen radical)들이 주입될 수 있다.
또한, 수소 라디칼들은 Sn 및 광학 표면들에 이미 증착되어 있을 수 있는 다른 오염물들의 세정에도 적용될 수 있다. 또한, 수소 가스는 시스템 내의 더 큰 진공 공간들에 들어가는 웨이퍼로부터의 오염물에 대한 버퍼로서, 웨이퍼 지지체(WT) 부근에 배치될 수 있다. 진공 환경에서, 통상적인 포토레지스트 재료들 - 지지체들 및 위치설정 시스템들의 구성요소들을 언급하지 않음 - 은 유기 및 다른 기체 재료들을 해방시키려는 경향이 있으며, 이는 시간이 지남에 따라 광학 구성요소들을 오염시킬 수 있다.
이러한 모든 목적을 위해, 각 오염물 트랩 구성(9a, 9b)으로, 그리고 포트들에서 조명 시스템(IL) 및 투영 시스템(PS)의 챔버들로 수소 가스를 공급하기 위한 수소 소스(HS)들이 도시된다. 몇몇 소스들은 단순한 버퍼로서 분자 수소 가스(H2)를 공급할 수 있는 한편, 다른 소스들은 H 라디칼들을 생성한다. 진공 환경으로 침투된 분자 수소는 상기 환경에서 방사선, 전기 방전 등에 의해 라디칼화될(radicalized) 수 있다.
도 3은 스펙트럼 퓨리티 필터(100)의 일 실시예의 개략적 정면도이며, 이는 예를 들어 리소그래피 장치의 앞서 언급된 필터(11)로서 적용될 수 있다. 본 필터(100)는 극자외(EUV) 방사선을 투과시키도록 구성된다. 또 다른 실시예에서, 상기 필터(100)는 방사선 소스에 의해 생성된 제 2 형태의 방사선, 예를 들어 적외(IR) 방사선, 예를 들어 약 1 ㎛보다 더 긴 파장 특히 약 10 ㎛보다 더 긴 파장의 적외 방사선을 실질적으로 차단한다. 특히, 투과될 EUV 방사선 및 (차단될) 제 2 형태의 방사선은 동일한 방사선 소스, 예를 들어 리소그래피 장치의 LPP 소스(SO)로부터 나올 수 있다.
설명될 실시예들에서 스펙트럼 퓨리티 필터(100)는 스펙트럼 퓨리티 필터의 제 1 영역에 실질적으로 평탄한 필터부(102)(예를 들어, 필터 막 또는 필터 층)를 포함한다. 이러한 것으로서 필터부(102)는 '필터 기판(filter substrate)'이라고 칭해질 수 있다. 필터부(102)는, 극자외 방사선을 투과시키고 제 2 형태의 방사선의 투과를 억제하기 위해 복수의 (바람직하게는 평행한) 어퍼처들(104)을 갖는다. 소스(SO)로부터 방사선이 닿는 면이 앞면으로서 칭해질 것이며, 방사선이 조명 시스템(IL)으로 빠져나가는 면이 뒷면으로서 칭해질 수 있다. 앞서 언급된 바와 같이, 예를 들어 EUV 방사선은 방사선의 방향을 변화시키지 않고 스펙트럼 퓨리티 필터에 의해 투과될 수 있다. 일 실시예에서, 각각의 어퍼처(104)는 앞면으로부터 뒷면으로 완전히 연장되고 어퍼처들(104)을 정의하는 평행한 측벽들(106)을 갖는다.
스펙트럼 퓨리티 필터(100)는 상기 제 1 영역에 인접한 스펙트럼 퓨리티 필터의 제 2 영역에 지지 프레임(108)을 포함할 수 있다. 지지 프레임(108)은 필터부(102)에 구조적 지지를 제공하도록 구성될 수 있다. 지지 프레임(108)은 사용되어야 할 장치에 스펙트럼 퓨리티 필터(100)를 장착하기 위한 부재들을 포함할 수 있다. 특정한 구성에서, 지지 프레임(108)은 필터부(102)를 둘러쌀 수 있다.
필터(100)는 실리콘(Si)의 자유지지 박막(freestanding thin film: 102), 및 실질적으로 수직인(즉, 상기 막 표면에 수직인) 측벽(106)을 갖는 어퍼처들(104)의 어레이를 포함할 수 있다. EUV 방사선이 실질적인 회절 없이 스펙트럼 퓨리티 필터(100)를 통과하도록 하기 위해, 어퍼처들(104)의 직경은 바람직하게는 약 100 nm보다 크고, 더 바람직하게는 약 1 ㎛보다 크다. 상기 어퍼처들(104)은 (도 3에서) 원형 단면을 갖는 것으로 개략적으로 도시되지만, 다른 형상들이 또한 가능하며, 바람직할 수 있다. 예를 들어, 기계적 안정성의 견지에서, 도 4, 도 5, 및 도 6에 도시된 바와 같은 육각형 어퍼처들이 유리할 수 있다. 필터(100)에 의해 억제될 파장은 투과될 EUV 파장의 적어도 10x일 수 있다. 특히, 필터(100)는 DUV 방사선(약 100 내지 400 nm 범위의 파장을 가짐) 및/또는 1 ㎛보다 긴(예를 들어, 1 내지 11 미크론 범위의) 파장을 갖는 적외 방사선의 투과를 억제하도록 구성될 수 있다.
본 발명의 일 실시예에 따르면, 스펙트럼 퓨리티 필터(100)의 제조는 비등방성 에칭 방법을 포함할 수 있으며, 이의 적합한 예시로는 아래에 간명하게 설명되는 심도 반응성 이온 에칭(DRIE) 기술이다. DRIE는 높은 비등방성 에칭률(highly anisotropic etch rates)를 갖는 에칭 방법으로, 소위 보쉬 공정(Bosch process)을 이용하여 Si의 수직 에칭 프로파일의 제조를 가능하게 한다. 이는, 예를 들어 S. Tachi, K. Tsujimoto, S. Okudaira, Low-temperature reactive ion etching and microwave plasma etching of silicon, Appl. Phys. Lett. 52(1988), 616에 개시되어 있다. 보쉬 공정은 SF6 플라즈마 및 플루오르카본(예를 들어, C4F8) 플라즈마에 Si 표면을 번갈아 노출시키는 단계로 구성된다. 첫 번째 단계에서는 실리콘이 어느 정도 등방성 방식으로 에칭되나, 두 번째 단계에서는 에칭된 프로파일이 패시베이션 층(passivation layer)으로 덮인다. 다음 에칭에서, 이 패시베이션 층은 주로 이온 충격(ion bombardment)에 의해 저부에서 우선적으로 개방되고, 다시 에칭이 시작된다. 에칭/패시베이션 사이클의 반복에 의해, 측면 확산(lateral spreading) 없이, 에칭이 한 층씩 아래를 향해 실리콘 표면 내로 진행된다.
일 실시예에 따르면, 상당한 각도 확산으로 EUV 투과를 허용하도록 어퍼처들의 종횡비(aspect ratio)를 충분히 낮게 유지하기 위해, 바람직하게는 비교적 얇은 필터(100)를 이용하여, 어퍼처들(104)을 통해 EUV 방사선이 바로 투과된다. 필터부(102)의 두께[즉, 각 어퍼처(104)의 길이)는, 예를 들어 20 ㎛보다 적으며, 예를 들어 약 2 내지 10 ㎛ 범위, 예를 들어 약 5 내지 10 ㎛ 범위이다. 또한, 일 실시예에 따르면, 각각의 어퍼처들(104)은 약 100 nm 내지 10 ㎛ 범위의 직경을 가질 수 있다. 어퍼처들(104)은 각각, 예를 들어 약 1.5 내지 6 ㎛ 범위, 예를 들어 2 내지 4 ㎛ 범위의 직경을 갖는다.
필터 어퍼처들(104) 사이의 벽들(105)의 두께(Q1)는 1 ㎛보다 작을 수 있으며, 예를 들어 약 0.4 내지 0.6 ㎛ 범위, 특히 약 0.5 ㎛일 수 있다. 일반적으로, 어퍼처들의 종횡비, 즉 필터 어퍼처들(104) 사이의 벽들의 두께에 대한 필터부(102)의 비는 5:1 내지 20:1 범위에 있을 수 있다. EUV 투과형 필터(100)의 어퍼처들은 약 3 내지 6 ㎛, 특히 약 3 내지 4 ㎛ 범위, 예를 들어 4 ㎛의 주기(Q2)(도 4에 나타냄)를 가질 수 있다. 결과적으로, 상기 어퍼처들은 총 필터 정면 표면의 약 70 내지 80 %의 개방 영역을 제공할 수 있다.
상기 필터(100)는 최대 5 %의 적외선(IR) 투과를 제공하도록 구성될 수 있다. 또한, 상기 필터(100)는 수직 입사에서 적어도 60 %의 입사하는 EUV 방사선을 투과시키도록 구성될 수 있다. 또한, 상기 필터(100)는 약 10 °의 (수직 방향에 대한) 입사각을 갖는 EUV 방사선의 적어도 약 40 %의 투과를 제공할 수 있다.
상기와 같은 스펙트럼 퓨리티 필터들을 형성하는 이미 알려진 방법들에서는, 피처들의 형성의 원하는 정확성, 예를 들어 어퍼처들(104)의 높은 종횡비를 제공하는 단순한 제조 방법을 제공하는 것이 어렵다는 것이 입증되었다. 특히, 얇은 실리콘 층들로부터 인접한 피처들(104) 사이의 벽들(105)과 같이 높은 종횡비의 피처들을 형성하는 것이 바람직하였으나, 추가 처리 단계들은 제조 시 이 얇은 실리콘 층을 지지하기 위해 사용된 벌크 재료(bulk material)를 제거하는데 필요한 후속 에칭 단계들로부터 이에 따라 형성된 피처들을 보호하기 위해 사용되었다.
본 발명의 일 실시예에 따르면, 스펙트럼 퓨리티 필터(100)의 어퍼처들과 같이 스펙트럼 퓨리티 필터의 원하는 피처들이 예를 들어 높은 종횡비 피처들의 형성을 가능하게 하도록 선택된 단결정 실리콘과 같은 기저 재료 내에 형성되는, 상기와 같은 스펙트럼 퓨리티 필터를 제조하는 새로운 방법이 제공된다. 이후, 이에 따라 형성된 피처들의 표면들은 적어도 기저 재료의 노출된 층을, 처리되지 않은 기저 재료보다 화학적 에칭에 대해 더 높은 저항을 갖는 상이한 재료로 전환시키기 위해 화학적으로 처리된다. 바람직하지 않은 재료를 제거하기 위한 후속 공정들에서는, 스펙트럼 퓨리티 필터의 피처들에 대한 추가적인 보호를 제공하지 않고도 화학적 에칭이 진행될 수 있다.
도 7 내지 도 12는 본 발명의 일 실시예에 따른 스펙트럼 퓨리티 필터의 제조 단계들을 도시한다.
도시된 바와 같이, 상기 공정은 제 1 및 제 2 주요 표면들(121, 122)을 갖는 기저 재료(120)의 부분에서 시작될 수 있다. 이후, 스펙트럼 퓨리티 필터의 요구되는 어퍼처들(104)에 대응하는 개구부들(130)이 기저 재료(120)의 제 1 표면(121) 내에 형성될 수 있다. 특히, 기저 재료(120)의 제 1 표면(121)의 개구부들(130)은 스펙트럼 퓨리티 필터(100)의 어퍼처들(104)의 원하는 폭과 실질적으로 동일한 폭을 가질 수 있다.
도 8에 도시된 바와 같이, 기저 재료(120)의 제 1 표면(121)의 개구부들(130)은 기저 재료를 관통하여 제 2 표면(122)까지 들어가지 않는다. 개구부들(130)의 깊이는 실질적으로 스펙트럼 퓨리티 필터(100)의 필터부(102)의 두께에 대응할 수 있다.
개구부들(130) 사이의 기저 재료(120)의 벽들(131)은 스펙트럼 퓨리티 필터의 어퍼처들(104) 사이의 원하는 벽들(105)에 대응하도록 남아있다. 그러므로, 기저 재료(120)의 남아있는 벽들(131)은 스펙트럼 퓨리티 필터의 어퍼처들(104) 사이의 벽들과 실질적으로 동일한 폭을 가질 수 있다.
기저 재료(120)의 제 1 표면(121)의 개구부들(130)은, 예를 들어 리소그래피 공정에 의해, 예를 들어 개구부들(130)이 형성되지 않을 기저 재료의 제 1 표면(121)의 일부분들에 마스크 패턴(135)을 형성하고 후속하여 노출된 기저 재료(120)를 에칭함으로써 형성될 수 있다. 예를 들어, 앞서 설명된 바와 같은 심도 반응성 이온 에칭이 사용될 수 있다. 개구부들(130)이 형성되고 나면, 남아있는 마스크 재료(135)는 제거될 수 있다.
도 9에 도시된 바와 같이, 개구부들(130)이 형성되었으면, 적어도 개구부들(130)을 분리시키는 벽들(131)은, 상기 기저 재료를, 상기 기저 재료와 상이하며 상기 기저 재료에 비해 에칭 공정에 더 큰 저항을 갖는 제 2 재료로 전환시키기 위해 화학적으로 처리될 수 있다. 화학적 처리의 선택은 후속하여 사용될 에칭 공정에 의존할 것임을 이해할 것이다.
일 예시에서, 기저 재료(120)의 실리콘이 실리콘 질화물로 전환되는 질화물 공정이 사용될 수 있다. 대안적으로, 화학적 처리 공정은, 기저 재료가 실리콘 탄화물, 실리콘 황화물 또는 실리콘 산화물로 전환되도록 각각 탄화, 황화, 또는 산화를 포함할 수 있다. 일 예시에서, 실리콘을 실질적인 층 두께까지 질화물로 전환시키기 위해 플라즈마 향상 질화(plasma-enhanced nitridation)가 사용될 수 있다.
화학적으로 처리된 기저 재료(120)의 층 두께에 따라, 개구부들(130) 사이의 벽들(131)의 실질적으로 모든 기저 재료가 제 2 재료로 전환될 수 있음을 이해할 것이다. 대안적으로, 기저 재료(120)의 코어(core)가 벽들(131)의 중심에 남아있을 수 있다. 또한, 도 9에 도시된 바와 같이, 기저 재료(120)의 제 1 표면(121)의 개구부들(130) 사이의 벽들(131)에 화학적 처리가 적용되는 것 이외에, 기저 재료(120)의 실질적으로 모든 외부 표면들에 화학적 처리가 적용될 수 있음을 이해할 것이다.
도 10에 도시된 바와 같이, 개구부들(130)의 저부면(130a), 즉 기저 재료(120)의 제 1 표면(121)으로부터 가장 멀리 있는 개구부들(130)의 끝 표면이 기저 재료(120)를 제 2 재료로 전환시키도록 화학적으로 처리된 경우, 개구부들(130)의 기저부(130a)에 있는 제 2 재료의 부분이 제거될 수 있다.
예를 들어, 유지되어야 할 제 2 재료의 부분들은 마스크 층(140)으로 보호될 수 있으며, 개구부들(130)의 기저부(130a)에 있는 재료는 에칭에 의해 제거될 수 있다. 예를 들어, 반응성 이온 에칭 공정이 사용될 수 있다. 특히, 도 10에 도시된 바와 같이, 개구부들(130)은 기저 재료(120)의 제 1 표면(121)의 제 1 영역(121a) 내에 형성될 수 있으며, 상기 제 1 영역(121)에 인접한 제 2 영역(121b)은 앞서 설명된 바와 같이 지지 프레임(108)을 형성하기 위해 유지될 수 있다. 따라서, 기저 재료(120)의 제 1 표면(121)의 제 2 영역(121b)에 마스크 층(140)이 제공될 수 있다.
후속하여, 제 1 표면(121)의 제 2 영역(121b)에 대응하는 기저 재료(120)의 제 2 표면(122)의 영역(122b), 즉 스펙트럼 퓨리티 필터의 지지 프레임(108)을 형성할 제 2 표면(122)의 부분에 제 2 마스크 층(145)이 제공될 수 있다.
어퍼처들(104)이 형성될 스펙트럼 퓨리티 필터(100)의 영역에 대응하는 기저 재료(120)의 제 2 표면(122)의 영역(122a)으로부터 제 2 재료의 층을 제거하기 위해, 반응성 이온 에칭과 같은 에칭 공정이 사용될 수 있다. 도 11에 도시된 바와 같이, 아래에 설명되는 후속 에칭 단계가 도 12에 도시된 바와 같이 수직 벽들 대신에 비스듬한 벽들(slanted walls)을 생성하는 경우, 제 2 재료 층이 제거된 영역(122a)은 개구부들(130)이 형성될 기저 재료(120)의 제 1 표면(121)의 제 1 영역(121a)보다 다소 클 수 있다(그리고 이에 대응하여, 마스크 층(145)에 의해 보호되는 제 2 표면의 영역(122b)은 기저 재료(120)의 제 1 표면(121)의 제 2 영역(121b)의 크기보다 작을 수 있다). 예를 들어, 비등방성 에칭 공정에서, 실리콘의 111-면들은 다른 방향들보다 훨씬 더 느리게 에칭될 수 있어, 에칭 공정은 이들의 (비스듬한) 평면들에서 효율적으로 정지한다.
도 12에 도시된 바와 같이, 기저 재료(120)는 후속하여 어퍼처들(104)이 형성될 영역에 대응하는 제 2 표면(122)의 영역(122a)으로부터 제거될 수 있어, 기저 재료(120) 전부가 대응하는 개구부들(130) 아래로부터 제거된다. 따라서, 개구부들이 스펙트럼 퓨리티 필터(100)의 제 1 측면으로부터 스펙트럼 퓨리티 필터(100)의 제 2 측면으로 관통될 때까지, 개구부들의 영역의 기저 재료는 두께가 감소된다.
특히, 도 12에 도시된 바와 같이, 기저 재료(120)는 화학적 에칭에 의해 제 2 측면(122)으로부터 제거될 수 있다. 예를 들어, 수산화칼륨(KOH) 용액 TMAH(Tetramethylammonium hydroxide)가 사용될 수 있다. 또한, 기상 에칭(Vapour phase etching), 플라즈마 에칭 또는 스퍼터링 에칭이 사용될 수도 있다.
또한, 요구된다면, 에칭 공정은 대안적으로 또는 추가적으로 기저 재료(120)의 제 1 측면(121)으로부터 수행될 수 있으며, 즉 개구부들(130)의 기저부(130a)에 있는 물질을 에칭할 수 있음을 이해하여야 한다.
도 13에 도시된 바와 같이, 투과가 최소화되어야 하는 제 2 형태의 방사선에 대한 스펙트럼 퓨리티 필터(100)의 반사율을 개선하기 위해, 추가 재료 층(150)이 스펙트럼 퓨리티 필터(100)의 표면에 형성될 수 있다. 예를 들어, 도 13에 도시된 바와 같이, 추가 재료 층(150)은 상기와 같이 제 2 재료로 변환되었을 수 있는 기저 재료(120)의 제 1 표면(121)의 남아있는 부분들에 형성될 수 있다. 예를 들어, 적외 방사선의 반사율을 개선하기 위해 금속 층이 제공될 수 있다.
원하는 사양을 갖는 스펙트럼 퓨리티 필터(100)를 제조하는 비교적 단순한 절차를 제공하는 상기와 같은 방법들 이외에, 어퍼처들(104) 사이의 그리드 구조체의 벽들이 실리콘과 다른 물질로 형성되는 스펙트럼 퓨리티 필터의 제공은 추가적인 장점들을 제공할 수 있다. 예를 들어, 그리드 구조체의 벽들이 실리콘 질화물로 형성되는 경우, 상기의 예시에 따라, 이는 고-전력 소스 내에서 예상될 수 있는 환경 조건들에 더 안정할 것으로 예상된다. 특히, 이 재료는 비교적 높은 온도에서 실리콘보다 안정할 수 있으며, 앞서 설명된 바와 같이 비교적 높은 수소 라디칼 농도(hydrogen radical concentration)가 예상될 수 있다. 또한, 스펙트럼 퓨리티 필터(100)의 어퍼처들(104) 사이의 벽들(105)에 금속 코팅이 적용되고 이 벽들은 실리콘으로 형성되는 경우, 반사성 금속 코팅의 실리사이드화(silicidation)가 일어날 수 있다. 하지만, 벽들이 실리콘 질화물로 형성되는 경우, 상기의 예시에 따라, 이는 일어나지 않을 수 있다. 또한, 실리콘 질화물은 실리콘보다 근적외 범위에서 상당히 높은 방사율(emissivity)(도핑 레벨 및 온도에 따라, 0.1 내지 0.7에 비해 0.9 내지 0.95)을 갖는다. 따라서, 스펙트럼 퓨리티 필터(100)의 제 2 표면(122b)에 실리콘 질화물의 코팅은 유효 방사율을 증가시킬 수 있으며, 더 낮은 작동 온도를 유도한다.
스펙트럼 퓨리티 필터(100)를 형성하기 위해 앞서 설명된 방법의 변형들이 사용될 수 있음을 이해할 것이다. 예를 들어, 기저 재료(120)의 제 1 표면(121)에 개구부들(130)을 형성하기 위해 임프린트 리소그래피가 사용될 수 있다. 대안적으로 또는 추가적으로, 추가 처리 단계들이 사용될 수도 있다.
예를 들어, 도 14에 도시된 바와 같이, 개구부들(130)이 기저 재료(120)의 제 1 표면(121)에 형성되었으면, 기저 재료(120)의 표면을 화학적으로 처리하는 단계 이전에, 마스크 층(160)이 개구부들(130)의 기저부(130a)에 제공될 수 있다. 이는 이 영역에서 실리콘 질화물과 같은 제 2 재료로의 기저 재료(120)의 전환을 방지할 수 있다. 후속하여, 개구부들(130)의 기저부(130a)로부터 제 2 재료를 에칭하는 단계가 배제될 수 있다.
대안적으로 또는 추가적으로, 도 15에 도시된 바와 같이, 기저 재료를 제 2 재료로 전환하기 위해 기저 재료(120)를 화학적으로 처리하는 단계 이전에, 개구부들(130)이 기저 재료(120)의 제 1 표면(121)에 형성되는 영역에 대응하는 기저 재료(120)의 제 2 표면(122)의 영역(122a)에 마스크 층(161)이 제공될 수 있다. 도 14에 도시된 변형과 마찬가지로, 이는 도 12에 도시된 바와 같이 제 2 표면(122)으로부터 기저 재료를 화학적으로 에칭하는 단계 이전에 상기 영역(122a)의 제 2 재료를 에칭하는 단계에 대한 요구를 없앨 수 있다.
스펙트럼 퓨리티 필터를 통합한 도 1 및 도 2의 장치가 리소그래피 제조 공정에 사용될 수 있음을 이해할 것이다. 이러한 리소그래피 장치는 IC, 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조에 사용될 수 있다. 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해하여야 한다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해하여야 한다.
본 발명의 실시예들은 방전 생성 플라즈마 소스(DPP 소스) 또는 레이저 생성 플라즈마 소스(LPP 소스)를 포함하는 - 단, 이로 제한되지 않음 - 여하한 타입의 EUV 소스에 대해 사용될 수 있다는 것을 이해할 것이다. 하지만, 본 발명의 일 실시예는 통상적으로 레이저 생성 플라즈마 소스의 일부분을 형성하는 레이저 소스로부터의 방사선을 억제하는데 특히 적합할 수 있다. 이는, 이러한 플라즈마 소스가 흔히 레이저로부터 발생한 2차 방사선을 출력하기 때문이다.
스펙트럼 퓨리티 필터는 실제로 방사선 경로 내에서 어디에나 위치될 수 있다. 일 실시예에서, 스펙트럼 퓨리티 필터는 EUV 방사선 소스로부터 EUV-포함 방사선을 수용하고 EUV 방사선을 적절한 하류의 EUV 방사선 광학 시스템에 전달하는 영역에 위치되며, 상기 EUV 방사선 소스로부터의 방사선은 광학 시스템에 들어가기 전에 스펙트럼 퓨리티 필터를 통과하도록 배치된다. 일 실시예에서, 스펙트럼 퓨리티 필터는 EUV 방사선 소스 내에 있다. 일 실시예에서, 스펙트럼 퓨리티 필터는 조명 시스템 또는 투영 시스템과 같은 EUV 리소그래피 장치 내에 있다. 일 실시예에서, 스펙트럼 퓨리티 필터는 방사선 경로에서 플라즈마 이후에, 그러나 컬렉터 이전에 위치된다.
이상, 본 발명의 특정 실시예가 설명되었지만 본 발명은 설명된 것과 다르게 실시될 수 있다는 것을 이해하여야 한다.

Claims (14)

  1. 극자외 방사선을 투과시키고 제 2 형태의 방사선(second type of radiation)의 투과를 억제하도록 구성된 복수의 어퍼처들을 갖는 스펙트럼 퓨리티 필터(spectral purity filter)를 제조하는 방법에 있어서,
    제 1 및 제 2 주요 표면들을 갖는 기저 재료(base material)를 제공하는 단계;
    상기 기저 재료의 제 1 표면에, 상기 스펙트럼 퓨리티 필터의 복수의 어퍼처들에 대응하는 개구부들을 형성하는 단계;
    상기 기저 재료와 상이하며 처리되지 않은 기저 재료에 비해 에칭 공정에 더 큰 저항을 갖는 제 2 재료의 층을 형성하도록, 적어도 상기 제 1 표면의 개구부들을 둘러싸는 상기 기저 재료의 표면들을 화학적으로 처리하는 단계; 및
    상기 개구부들이 상기 기저 재료를 통해 연장되도록, 적어도 상기 개구부들의 영역에서 상기 기저 재료의 두께를 감소시키기 위해, 상기 에칭 공정을 이용하여 상기 기저 재료를 에칭하는 단계를 포함하는 스펙트럼 퓨리티 필터 제조 방법.
  2. 제 1 항에 있어서,
    상기 기저 재료는 단결정 실리콘(monocrystalline silicon)이고, 상기 기저 재료의 표면들을 화학적으로 처리하는 단계는: 결과적으로 제 2 재료가 실리콘 질화물, 실리콘 탄화물, 실리콘 황화물, 및 실리콘 산화물 중 하나를 포함하는 실리콘 화합물이 되도록, 질화, 탄화, 황화, 및 산화 중 하나를 포함하는 스펙트럼 퓨리티 필터 제조 방법.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 기저 재료는, 상기 개구부들이 상기 기저 재료를 통해 연장되도록, 상기 기저 재료의 두께를 감소시키기 위해 상기 기저 재료의 제 1 영역으로부터 에칭되고, 상기 기저 재료는 상기 기저 재료의 제 2 영역으로부터 에칭되지 않으며, 상기 제 2 영역은 아래놓인 기저 재료가 상기 스펙트럼 퓨리티 필터에 대한 구조적 지지체로서 작용하도록 선택되는 스펙트럼 퓨리티 필터 제조 방법.
  4. 제 3 항에 있어서,
    상기 제 1 표면으로부터 가장 멀리 있는 상기 개구부들의 끝 표면(end surface)에 형성된 상기 제 2 재료의 일 부분을 선택적으로 제거하는 단계를 더 포함하고, 상기 개구부들의 끝 표면으로부터 상기 제 2 재료를 선택적으로 제거하는 단계는 선택적으로 반응성 이온 에칭을 포함하며, 상기 개구부들의 끝 표면으로부터 상기 제 2 재료를 선택적으로 제거하는 단계를 수행하기 이전에, 마스크 층이 상기 기저 재료의 제 1 표면의 제 2 영역에 제공되는 스펙트럼 퓨리티 필터 제조 방법.
  5. 제 3 항 또는 제 4 항에 있어서,
    상기 기저 재료의 두께를 감소시키기 위해 상기 기저 재료를 에칭하는 단계 이전에, 상기 기저 재료의 제 2 표면의 제 1 영역으로부터 상기 제 2 재료의 층을 선택적으로 제거하는 단계를 더 포함하는 스펙트럼 퓨리티 필터 제조 방법.
  6. 제 5 항에 있어서,
    상기 제 2 표면의 제 1 영역으로부터 상기 제 2 재료의 층을 선택적으로 제거하는 단계는 반응성 이온 에칭을 포함하는 스펙트럼 퓨리티 필터 제조 방법.
  7. 제 5 항 또는 제 6 항에 있어서,
    상기 제 2 표면의 제 1 영역으로부터 상기 제 2 재료의 층을 선택적으로 제거하는 단계를 수행하기 이전에, 마스크 층이 상기 기저 재료의 제 2 표면의 제 2 영역에 제공되는 스펙트럼 퓨리티 필터 제조 방법.
  8. 극자외 방사선을 투과시키고 제 2 형태의 방사선의 투과를 억제하도록 구성된 복수의 어퍼처들을 갖는 그리드를 포함하는 스펙트럼 퓨리티 필터에 있어서,
    상기 그리드는 상기 어퍼처들을 서로 분리시키는 벽들을 더 포함하고, 상기 벽들은 실질적으로 실리콘 화합물로 형성되는 스펙트럼 퓨리티 필터.
  9. 제 8 항에 있어서,
    상기 실리콘 화합물은: 실리콘 질화물, 실리콘 탄화물, 실리콘 황화물, 및 실리콘 산화물로 구성되는 그룹으로부터 선택되는 스펙트럼 퓨리티 필터.
  10. 제 8 항 또는 제 9 항에 있어서,
    제 1 항 내지 제 7 항 중 어느 한 항에 따른 방법에 의해 제조되는 스펙트럼 퓨리티 필터.
  11. 제 8 항 내지 제 10 항 중 어느 한 항에 따른 스펙트럼 퓨리티 필터를 포함하는 방사선 소스.
  12. 제 8 항 내지 제 10 항 중 어느 한 항에 따른 스펙트럼 퓨리티 필터를 포함하는 리소그래피 장치.
  13. 방사선 소스에 있어서,
    스펙트럼 퓨리티 필터를 포함하고, 상기 스펙트럼 퓨리티 필터는:
    극자외 방사선을 투과시키고 제 2 형태의 방사선의 투과를 억제하도록 구성된 복수의 어퍼처들을 포함하는 그리드, 및
    상기 어퍼처들을 서로 분리시키는 벽들을 포함하며, 상기 벽들은 실질적으로 실리콘 화합물로 형성되는 방사선 소스.
  14. 리소그래피 장치에 있어서,
    극자외 방사선 및 제 2 형태의 방사선을 포함하는 방사선을 생성하도록 구성된 방사선 소스;
    상기 극자외 방사선을 투과시키고 상기 제 2 형태의 방사선의 투과를 억제하도록 구성된 스펙트럼 퓨리티 필터 - 상기 스펙트럼 퓨리티 필터는,
    극자외 방사선을 투과시키고 상기 제 2 형태의 방사선의 투과를 억제하도록 구성된 복수의 어퍼처들을 포함하는 그리드, 및
    상기 어퍼처들을 서로 분리시키는 벽들을 포함하고, 상기 벽들은 실질적으로 실리콘 화합물로 형성됨 -;
    패터닝 디바이스를 지지하도록 구성된 지지체 - 상기 패터닝 디바이스는 상기 그리드에 의해 투과된 상기 극자외 방사선을 패터닝하도록 구성됨 -; 및
    기판 상으로 상기 패터닝된 방사선을 투영하도록 구성된 투영 시스템을 포함하는 리소그래피 장치.
KR1020127007773A 2009-08-27 2010-07-16 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법 KR20120048701A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US23761409P 2009-08-27 2009-08-27
US61/237,614 2009-08-27

Publications (1)

Publication Number Publication Date
KR20120048701A true KR20120048701A (ko) 2012-05-15

Family

ID=43034133

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020127007773A KR20120048701A (ko) 2009-08-27 2010-07-16 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법

Country Status (7)

Country Link
US (1) US20120154779A1 (ko)
JP (1) JP2013503357A (ko)
KR (1) KR20120048701A (ko)
CN (1) CN102483586A (ko)
NL (1) NL2005098A (ko)
TW (1) TW201122569A (ko)
WO (1) WO2011023454A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2681625A1 (en) 2011-03-04 2014-01-08 ASML Netherlands BV Lithograpic apparatus, spectral purity filter and device manufacturing method
RU2524509C1 (ru) * 2013-04-25 2014-07-27 федеральное государственное автономное образовательное учреждение высшего профессионального образования "Национальный исследовательский ядерный университет МИФИ" (НИЯУ МИФИ) СПОСОБ ПОЛУЧЕНИЯ ТОНКИХ ЭПИТАКСИАЛЬНЫХ СЛОЕВ β-SIC НА КРЕМНИИ МОНОКРИСТАЛЛИЧЕСКОМ
SG11201704226PA (en) * 2014-11-26 2017-06-29 Supriya Jaiswal Materials, components, and methods for use with extreme ultraviolet radiation in lithography and other applications
CN111580340B (zh) * 2020-05-28 2023-03-31 南京南智先进光电集成技术研究院有限公司 一种中红外滤光片的制备方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL1008352C2 (nl) 1998-02-19 1999-08-20 Stichting Tech Wetenschapp Inrichting, geschikt voor extreem ultraviolet lithografie, omvattende een stralingsbron en een verwerkingsorgaan voor het verwerken van de van de stralingsbron afkomstige straling, alsmede een filter voor het onderdrukken van ongewenste atomaire en microscopische deeltjes welke door een stralingsbron zijn uitgezonden.
US6614505B2 (en) 2001-01-10 2003-09-02 Asml Netherlands B.V. Lithographic projection apparatus, device manufacturing method, and device manufactured thereby
AU2003238889A1 (en) * 2002-06-04 2003-12-19 Lake Shore Cryotronics, Inc. Spectral filter for green and shorter wavelengths and method of manufacturing same
US7453645B2 (en) 2004-12-30 2008-11-18 Asml Netherlands B.V. Spectral purity filter, lithographic apparatus including such a spectral purity filter, device manufacturing method, and device manufactured thereby

Also Published As

Publication number Publication date
US20120154779A1 (en) 2012-06-21
WO2011023454A1 (en) 2011-03-03
NL2005098A (en) 2011-03-01
CN102483586A (zh) 2012-05-30
JP2013503357A (ja) 2013-01-31
TW201122569A (en) 2011-07-01

Similar Documents

Publication Publication Date Title
KR101652361B1 (ko) 방사선 소스, 리소그래피 장치 및 디바이스 제조방법
US8749756B2 (en) Lithographic apparatus and device manufacturing method
US8278636B2 (en) Radiation sources and methods of generating radiation
US20120147350A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
KR20110087269A (ko) 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법
US9563137B2 (en) Lithographic apparatus and device manufacturing method
NL2005114A (en) Euv radiation system and lithographic apparatus.
KR20110083609A (ko) 스펙트럼 퓨리티 필터 및 리소그래피 장치
US20100328639A1 (en) Spectral purity filter, lithographic apparatus, and method for manufacturing a spectral purity filter
TWI504941B (zh) 多層鏡、微影裝置或輻射源及改良一多層鏡之強健度的方法
US20120170015A1 (en) Spectral purity filter, lithographic apparatus, method for manufacturing a spectral purity filter and method of manufacturing a device using lithographic apparatus
JP5715134B2 (ja) スペクトル純度フィルタ及びスペクトル純度フィルタの製造方法
KR20120130321A (ko) 방사선 소스, 리소그래피 장치 및 디바이스 제조 방법
KR20120048701A (ko) 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법
US20120182537A1 (en) Spectral purity filter, lithographic apparatus, and device manufacturing method
US20100039632A1 (en) Radiation source, lithographic apparatus and device manufacturing method

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid