KR101652361B1 - 방사선 소스, 리소그래피 장치 및 디바이스 제조방법 - Google Patents

방사선 소스, 리소그래피 장치 및 디바이스 제조방법 Download PDF

Info

Publication number
KR101652361B1
KR101652361B1 KR1020117005534A KR20117005534A KR101652361B1 KR 101652361 B1 KR101652361 B1 KR 101652361B1 KR 1020117005534 A KR1020117005534 A KR 1020117005534A KR 20117005534 A KR20117005534 A KR 20117005534A KR 101652361 B1 KR101652361 B1 KR 101652361B1
Authority
KR
South Korea
Prior art keywords
plasma
gas
collector mirror
radiation
gas flow
Prior art date
Application number
KR1020117005534A
Other languages
English (en)
Other versions
KR20110055610A (ko
Inventor
바딤 예프겐예비치 바니네
에릭 로엘로프 루프스트라
요한네스 후베르투스 요제피나 무어스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20110055610A publication Critical patent/KR20110055610A/ko
Application granted granted Critical
Publication of KR101652361B1 publication Critical patent/KR101652361B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/7015Details of optical elements
    • G03F7/70175Lamphouse reflector arrangements or collector mirrors, i.e. collecting light from solid angle upstream of the light source
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70883Environment aspects, e.g. pressure of beam-path gas, temperature of optical system
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05GX-RAY TECHNIQUE
    • H05G2/00Apparatus or processes specially adapted for producing X-rays, not involving X-ray tubes, e.g. involving generation of a plasma
    • H05G2/001X-ray radiation generated from plasma
    • H05G2/003X-ray radiation generated from plasma being produced from a liquid or gas

Landscapes

  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Atmospheric Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Toxicology (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • X-Ray Techniques (AREA)

Abstract

리소그래피 장치(1)는 극자외 방사선을 생성하도록 구성된 방사선 소스(SO)- 상기 방사선 소스(SO)는 플라즈마(225)가 발생되는 챔버(210)를 포함함 -; 플라즈마(225)에 의해 방출된 방사선을 반사시키도록 구성된 컬렉터 거울(270); 그리고 제 1 기체 흐름(240)- 상기 제 1 기체 흐름(240)은 플라즈마(225)에 의해 발생된 잔해를 열적 평형화기키기 위하여 선택됨 -을 플라즈마 쪽으로 공급하도록 구성된 기체 공급 시스템(235), 및 컬렉터 거울(270)에 근접한 위치에 배열되는 복수의 가스 매니폴드(247)- 상기 매니폴드는 챔버(210) 내에서 제 2 기체 흐름(250)을 공급하도록 구성되며, 상기 제 2 기체 흐름(250)은 열적 평형화된 잔해가 컬렉터 거울(270) 상에 침적되는 것을 방지하기 위하여 플라즈마 쪽으로 지향됨 -을 포함하는 잔해 저감 시스템(230)을 포괄한다.

Description

방사선 소스, 리소그래피 장치 및 디바이스 제조방법{RADIATION SOURCE, LITHOGRAPHIC APPARATUS AND DEVICE MANUFACTURING METHOD}
관련된 출원에 대한 상호-참조
본 출원은 2008년 8월 14일에 출원된 미국 가출원 61/136,144의 이익을 주장하며, 이는 본 명세서에서 이의 전문이 인용 참조된다.
분야
본원 발명은 리소그래피 장치 및 극자외(extreme ultraviolet) 방사선을 생성하는 방법에 관한 것이다.
리소그래피 장치는 기판 상에, 통상적으로는 기판의 타겟부 상에 원하는 패턴을 적용시키는 기계이다. 리소그래피 장치는, 예를 들어 집적 회로(IC)의 제조시에 사용될 수 있다. 그 경우, 대안적으로 마스크 또는 레티클이라 칭하는 패터닝 디바이스가 IC의 개별층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예를 들어, 실리콘 웨이퍼) 상의 (예를 들어, 한 개 또는 수 개의 다이의 부분을 포함하는) 타겟부 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 상으로의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속하여 패터닝되는 인접한 타겟부들의 네트워크를 포함할 것이다. 알려진 리소그래피 장치는, 한번에 타겟부 상으로 전체 패턴을 노광함으로써 각각의 타겟부가 조사(irradiate)되는 소위 스테퍼, 및 방사선 빔을 통해 주어진 방향("스캐닝" 방향)으로 패턴을 스캐닝하는 한편, 이 방향과 평행한 방향 또는 역-평행 방향으로 기판을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사되는 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 디바이스에서 기판으로 패턴을 전사할 수 있다.
패턴 프린팅의 한계들의 이론적 추정은 수학식(1)에 나타낸 바와 같은 분해능에 대한 레일리 기준(Rayleigh criterion)에 의해 설명될 수 있다:
Figure 112011016972663-pct00001
이때, λ는 사용되는 방사선의 파장이고, NAPS는 패턴을 프린트하는데 사용되는 투영 시스템의 개구수(numerical aperture)이며, k1은 레일리 상수라고도 불리는 공정 의존성 조정 인자(process dependent adjustment factor)이고, CD는 프린트된 피처의 피처 크기(또는 임계 치수)이다. 수학식(1)에 따르면, 피처들의 프린트가능한 최소 크기의 감소는 세 가지 방식으로: 즉, 노광 파장 λ를 단축함으로써, 개구수 NAPS를 증가시킴으로써, 또는 k1의 값을 감소시킴으로써 얻어질 수 있다.
노광 파장을 단축시키고, 이에 따라 프린트가능한 크기를 감소시키기 위해, 극자외(EUV) 방사선을 사용하는 것이 제안되었다. EUV 방사선 소스는 약 13 nm의 방사선 파장을 출력하도록 구성된다. 따라서, EUV 방사선 소스들은 작은 피처 프린팅을 달성하기 위한 중요한 단계를 구성할 수 있다. 이러한 방사선은 극자외 또는 연질 x-선이라 칭하고, 가능한 소스들로는, 예를 들어 레이저-생성 플라즈마 소스, 방전 플라즈마 소스, 또는 전자 저장 링으로부터의 싱크로트론 방사선(synchrotron radiation)을 포함한다.
EUV 방사선의 소스는 전형적으로 플라즈마 소스, 예를 들어 레이저-생성 플라즈마 소스 또는 방전 소스이다. 플라즈마 소스를 사용하는 경우에, EUV 방사선의 부산물로서 오염 입자들이 생성된다. 일반적으로, 이러한 오염 입자들은 리소그래피 장치의 일부분, 특히 플라즈마 소스 주변에 위치한 거울에 손상을 가할 수 있으므로 바람직하지 않다.
오염 입자들에 의해 가해지는 손상을 저감(mitigate)시키는 것이 바람직하다.
본 발명의 일 실시형태에서, 극자외 방사선을 생성되도록 구성된 방사선 소스- 상기 방사선 소스는 플라즈마가 발생되는 챔버를 포함함 -; 플라즈마에 의해 방출된 방사선을 반사시키도록 구성된 컬렉터 거울(collector mirror); 그리고 제 1 기체 흐름- 상기 제 1 기체 흐름은 플라즈마에 의해 발생되는 잔해를 열적 평형화(thermalize)하기 위하여 선택됨 -을 플라즈마 쪽으로 공급하도록 구성된 기체 공급 시스템, 및 컬렉터 거울에 근접한 위치에 배열되는 복수의 가스 매니폴드(gas manifold)- 상기 가스 매니폴드는 제 2 기체 흐름을 챔버 내로 공급하도록 구성되며, 상기 제 2 기체 흐름은 열적 평형화된 잔해가 컬렉터 거울 상에 침적(depositing)되는 것을 방지하기 위해 플라즈마 쪽으로 지향됨 -를 포함하는 잔해 저감 시스템(debris mitigation system)을 포함하는 리소그래피 장치가 제공된다. 잔해는 고 에너지 이온들을 포함할 수 있다. 또한, 잔해는 Sn 이온들을 포함할 수 있다.
본 발명의 또 다른 실시형태에서, 극자외 방사선을 생성하도록 구성된 방사선 소스- 상기 방사선 소스는 플라즈마가 발생되는 챔버를 포함함 -; 플라즈마에 의해 방출된 방사선을 반사시키도록 구성된 컬렉터 거울; 그리고 제 1 기체 흐름- 상기 제 1 기체 흐름은 플라즈마에 의해 발생되는 잔해를 열적 평형화하기 위하여 선택됨 -을 플라즈마 쪽으로 공급하도록 구성된 기체 공급 시스템, 및 컬렉터 거울에 근접한 위치에 배열되는 복수의 가스 매니폴드- 상기 가스 매니폴드는 제 2 기체 흐름을 챔버 내로 공급하도록 구성되며, 상기 제 2 기체 흐름은 열적 평형화된 잔해가 컬렉터 거울 상에 침적되는 것을 방지하기 위해 플라즈마 쪽으로 지향됨 -를 포함하는 잔해 저감 시스템이 제공된다.
본 발명의 또 다른 실시형태에서, 플라즈마에 의해 생성된 잔해가 리소그래피 장치 내에 플라즈마 방사선 소스의 컬렉터 거울 상에 침적되는 것을 방지하고- 상기 방법은 제 1 기체 흐름을 플라즈마 쪽으로 공급하고, 상기 제 1 가스 흐름이 플라즈마에 의해 발생된 잔해를 열적 평형화하도록 구성됨 -; 그리고 플라즈마에 의해 발생된 열적 평형화된 잔해가 컬렉터 거울 상에 침적되는 것을 방지하기 위하여 제 2 기체 흐름을 플라즈마 쪽으로 공급하는- 상기 제 2 기체 흐름이 컬렉터 거울에 근접하게 정렬된 복수의 가스 매니폴드로 공급됨 -방법이 제공된다.
본 발명의 일 실시예에서, 극자외 방사선을 방출하는 플라즈마를 생성하는 단계; 극자외 방사선을 컬렉터 거울로 모으는 단계; 극자외 방사선을 방사선 빔으로 전환하는 단계; 방사선 빔을 패터닝하는 단계; 방사선 빔을 기판의 타겟부 상으로 투영시키는 단계; 및 플라즈마에 의해 생성된 잔해가 컬렉터 거울 상에 침적되는 것을 방지하는 단계를 포함하는 디바이스 제조방법이 제공되며, 상기 방지하는 단계는 제 1 기체 흐름을 플라즈마 쪽으로 공급하는 단계- 상기 제 1 기체 흐름은 플라즈마에 의해 발생된 잔해를 열적 평형화하도록 구성됨 -; 및 플라즈마에 의해 발생된 열적 평형화된 잔해가 컬렉터 거울 상에 침적되는 것을 방지하기 위하여 제 2 기체 흐름을 플라즈마 쪽으로 공급하는 단계- 상기 제 2 기체 흐름은 컬렉터 거울에 근접하게 배열된 복수의 가스 매니폴드로 공급됨 -를 포함한다.
이하, 대응하는 참조 부호들이 대응하는 부분들을 나타내는 첨부된 개략적인 도면들을 참조하여, 단지 예시의 방식으로만 본원 발명의 실시예들을 설명할 것이다:
도 1은 본 발명의 일 실시예에 따른 리소그래피 장치를 개략적으로 나타낸 도면;
도 2는 도 1에 따른 리소그래피 투영 장치의 투영 광학부 및 EUV 조명 시스템의 개략적인 측면도;
도 3은 본 발명의 일 실시예에 따른 방사선 소스 및 수직 입사 컬렉터(normal incidence collector)를 나타낸 도면;
도 4는 본 발명의 일 실시예에 따른 방사선 소스 및 슈바르츠실트(Schwarzschild)형 수집 입사 컬렉터를 나타낸 도면;
도 5는 본 발명의 일 실시예에 따른 잔해 저감 시스템을 포함하는 방사선 소스를 나타낸 도면;
도 6은 본 발명의 일 실시예에 따른 컬렉터 거울의 정면도; 및
도 7은 본 발명의 일 실시예에 따른 잔해 저감 시스템을 포함하는 방사선 소스를 나타낸 도면이다.
도 1은 본원 발명의 일 실시예에 따른 리소그래피 장치(1)를 개략적으로 도시한다. 상기 장치(1)는 방사선 빔(B)[예를 들어, UV 방사선 또는 EUV 방사선]을 컨디셔닝(condition)하도록 구성된 조명 시스템(일루미네이터)(IL)을 포함한다. 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)가 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 여하한의 파라미터들에 따라서 패터닝 디바이스를 정확히 위치시키도록 구성된 제 1 위치설정기(PM)에 연결된다. 기판 테이블(예를 들어, 웨이퍼 테이블)(WT)은 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 유지하도록 구성되며, 여하한의 파라미터들에 따라서 기판을 정확히 위치시키도록 구성되는 제 2 위치설정기(PW)에 연결된다. 투영 시스템(예를 들어, 굴절 투영 렌즈 시스템)(PL)은 패터닝된 방사선 빔(B)을 기판(W)의 (예를 들어, 1 이상의 다이를 포함하는) 타겟부(C) 상으로 투영하도록 구성된다.
조명 시스템은 방사선을 지향, 성형, 또는 제어하기 위하여, 굴절, 반사, 자기, 전자기, 정전기 또는 다른 타입의 광학 구성요소들, 또는 그들의 여하한의 조합과 같은 다양한 타입들의 광학 구성요소들을 포함할 수 있다.
패터닝 디바이스 지지체(MT)는 패터닝 디바이스의 방위, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지의 여부와 같은 다른 조건들에 의존하는 방식으로 패터닝 디바이스를 유지한다. 패터닝 디바이스 지지체는 패터닝 디바이스를 유지하기 위해 기계적, 진공, 또는 다른 클램핑 기술들을 사용할 수 있다. 패터닝 디바이스 지지체는, 예를 들어 필요에 따라 고정되거나 이동가능할 수 있는 프레임 또는 테이블일 수 있다. 패터닝 디바이스 지지체는, 패터닝 디바이스가 예를 들어 투영 시스템에 대해 원하는 위치에 있도록 보장할 수 있다.
본 명세서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 디바이스"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는 기판의 타겟부에 패턴을 생성하기 위하여 방사선 빔의 단면에 패턴을 갖는 방사선 빔을 부여하는데 사용될 수 있는 여하한의 디바이스를 언급하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어 상기 패턴이 위상-시프팅 피처(phase-shifting feature)들 또는 소위 어시스트 피처(assist feature)들을 포함하는 경우, 기판의 타겟부 내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스 내의 특정 기능 층에 해당할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수 있다. 패터닝 디바이스의 예로는 마스크, 프로그램가능한 거울 어레이, 및 프로그램가능한 LCD 패널들을 포함한다. 마스크는 리소그래피 분야에서 잘 알려져 있으며, 다양한 하이브리드(hybrid) 마스크 타입들뿐만 아니라, 바이너리(binary)형, 교번 위상-시프트형 및 감쇠 위상-시프트형과 같은 마스크 타입들을 포함한다. 프로그램가능한 거울 어레이의 일 예시는 작은 거울들의 매트릭스 구성을 채택하며, 그 각각은 입사하는 방사선 빔을 상이한 방향으로 반사시키도록 개별적으로 기울어질 수 있다. 기울어진 거울들은 거울 매트릭스에 의해 반사되는 방사선 빔에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는 사용되는 노광 방사선, 또는 침지 액체(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대하여 적절하다면, 굴절, 반사, 카타디옵트릭(catadioptric), 자기, 전자기 및 정전기 광학 시스템, 또는 여하한의 그 조합을 포함하는 여하한 타입의 투영 시스템을 포괄하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영 시스템"이라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 예를 들어, 반사 마스크를 채택하는 반사형으로 구성된다. 대안적으로, 상기 장치는 예를 들어, 투과 마스크를 채택하는 투과형으로 구성된다.
리소그래피 장치는 두 개(듀얼 스테이지) 이상의 기판 테이블 (및/또는 두 개 이상의 마스크 테이블)을 갖는 형태로 구성될 수 있다. 이러한 "다수 스테이지" 기계에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 하나 이상의 테이블이 노광에 사용되고 있는 동안 하나 이상의 다른 테이블에서는 준비작업 단계가 수행될 수 있다.
또한, 리소그래피 장치는 투영 시스템과 기판 사이의 공간을 채우기 위하여, 적어도 기판의 일부분이 상대적으로 높은 굴절률을 갖는 액체, 예를 들어 물에 의해 커버(cover)될 수 있는 형태로 구성될 수 있다. 침지 액체는 리소그래피 장치 내에 여타 장소, 예를 들어 마스크와 투영 시스템 사이에 적용될 수 있다. 침지 기술은 투영 시스템의 개구수(numerical aperture)를 증가시키는 것에 대하여 본 기술분야에 잘 알려져 있다. 본 명세서에서 사용되는 "침지"라는 용어는 기판과 같은 구조체가 액체에 잠겨야만 한다는 것을 의미하기보다는, 예를 들어 노광 중에 액체가 투영 시스템과 기판 사이에 위치된다는 것을 의미한다.
도 1을 참조하면, 일루미네이터(IL)는 방사선 소스(SO)로부터 방사선을 수용한다. 소스 및 리소그래피 장치는, 예를 들어 상기 소스가 엑시머 레이저인 경우에 개체들이 분리될 수 있다. 이러한 경우에, 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 방사선은 예를 들어, 적합한 디렉팅 거울(directing mirror) 및/또는 빔 익스펜더(beam expander)를 포함하는 (도 1에 도시되지 않은) 전달 시스템(BD)의 도움으로 소스(SO)에서 일루미네이터(IL)로 통과된다. 다른 경우에, 예를 들어 소스가 수은 램프라면, 상기 소스는 리소그래피 장치의 통합부(integral part)일 수 있다. 상기 소스(SO) 및 일루미네이터(IL)는 필요에 따라 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 (도 1에 도시되지 않은) 조정기(AD)를 포함할 수 있다. 일반적으로, 일루미네이터의 퓨필 정면 내의 세기 분포의 적어도 외반경 및/또는 내반경 크기(통상적으로는, 각각 외측-σ 및 내측-σ이라고 함)가 조정될 수 있다. 또한, 일루미네이터(IL)는 (도 1에 도시되지 않은) 인터그레이터(IN) 및 콘덴서(CO)와 같이, 다양한 다른 구성요소들을 포함할 수도 있다. 일루미네이터는 방사선 빔의 단면에 원하는 균일성(uniformity) 및 세기 분포를 갖기 위해, 방사선 빔을 컨디셔닝 하는데 사용될 수 있다.
방사선 빔(B)은 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크)(MA) 상에 입사되고, 패터닝 디바이스에 의해 패터닝된다. 패터닝 디바이스(예를 들어, 마스크)(MA)에 의해 반사된 후, 방사선 빔(B)은 투영 시스템(PL)을 통과하여, 기판(W)의 타겟부(C) 상으로 빔을 포커스된다. 제 2 위치설정기(PW) 및 위치 센서(IF2)(예를 들어, 간섭계 디바이스, 리니어 인코더 또는 용량성 센서)의 도움으로, 기판 테이블(WT)은 예를 들어, 방사선 빔(B)의 경로 내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 위치 센서(IF1)(예를 들어, 간섭계 디바이스, 리니어 인코더 또는 용량성 센서)는, 예를 들어 마스크 라이브러리(mask library)로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 패터닝 디바이스(예를 들어, 마스크)(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)의 이동은 장-행정 모듈(long-stroke module: 개략 위치설정) 및 단-행정 모듈(short-stroke module: 미세 위치설정)의 도움으로 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동은 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. 스캐너와는 대조적으로 스테퍼의 경우, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)는 단-행정 액추에이터에만 연결되거나 고정될 수 있다. 패터닝 디바이스(예를 들어, 마스크)(MA) 및 기판(W)은 패터닝 디바이스 정렬 마크들(M1 및 M2) 및 기판 정렬 마크들(P1 및 P2)을 사용하여 정렬될 수 있다. 비록 예시된 기판 정렬 마크들은 지정된(dedicated) 타겟부들을 차지하고 있지만, 그들은 타겟부들 사이의 공간들 내에 위치될 수도 있다. 이들은 스크라이브-레인 정렬 마크(scribe-lane alignment mark)들로 알려져 있다. 이와 유사하게, 패터닝 디바이스(MA) 상에 1 이상의 다이가 제공되는 상황들에서, 패터닝 디바이스 정렬 마크들은 다이들 사이에 위치될 수 있다.
도시된 장치는 다음 모드들 중 적어도 1 이상에서 사용될 수 있다:
1. 스텝 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 기본적으로 정지 상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한번에 타겟부(C) 상에 투영된다[즉, 단일 정적 노광(single static exposure)]. 그 후, 기판 테이블(WT)은 상이한 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT) 및 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안에 동기적으로 스캐닝된다[즉, 단일 동적 노광(single dynamic exposure)]. 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PL)의 확대(축소) 및 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광시 타겟부의 (스캐닝 되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 동작의 길이는 타겟부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 패터닝 디바이스 지지체(예를 들어, 마스크 테이블)(MT)는 프로그램가능한 패터닝 디바이스를 유지하면서 기본적으로 정지된 상태로 유지되고, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영되는 동안 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채택되고, 프로그래밍가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔 중에 계속되는 방사선 펄스 사이사이에 필요에 따라 업데이트된다. 이 작동 모드는 앞서 언급된 바와 같은 프로그래밍가능한 거울 어레이와 같은 프로그래밍가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상기에 개시된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들이 채택될 수도 있다.
도 2는 방사선 시스템(42), 조명 광학 유닛(44), 및 투영 시스템(PL)을 포함하는 투영 장치(11)를 더 자세히 도시한다. 방사선 시스템(42)은 방전 플라즈마에 의해 형성될 수 있는 방사선 소스(SO)를 포함한다. EUV 방사선은 Xe 기체, Li 증기 또는 Sn 증기와 같은 기체 또는 증기에 의해 생성될 수 있으며, 여기서 전자기 스펙트럼의 EUV 범위 내의 방사선을 방출하도록 초고온 플라즈마(very hot plasma)가 생성된다. 초고온 플라즈마는 전기적 방전의 부분적으로 이온화된 플라즈마를 광학 축선(O) 상에서 충돌(collapse)하도록 야기함으로써 생성된다. 이런 소스는 방전 생성 플라즈마(discharge produced plasma: DPP) 소스라고 칭할 수 있다. 방사선의 효율적인 발생을 위해, Xe, Li, Sn 증기 또는 여하한의 다른 적절한 기체 또는 증기의 10 Pa의 분압(partial pressure)이 필요할 수 있다. 방사선 소스(SO)에 의해 방출된 방사선은, 소스 챔버(source chamber: 47) 내의 개구부(opening) 내에 또는 그 뒤에 위치되는 기체 방벽 또는 오염물 트랩(contamination trap: 49)을 통해, 소스 챔버(47)에서 컬렉터 챔버(collector chamber: 48) 내로 통과된다. 기체 방벽/오염물 트랩(49)은, 예를 들어 미국 특허 6,614,505 및 6,359,969와 같이 채널 구조체를 포함한다.
컬렉터 챔버(48)는 스침 입사 컬렉터(grazing incidence collector)에 의해 형성될 수 있는 방사선 컬렉터(50)를 포함한다. 컬렉터(50)에 의해 통과된 방사선은 격자 스펙트럼 필터(51)로부터 반사되어, 컬렉터 챔버(48) 내의 어퍼처(aperture)에서 가상 소스 지점(virtual source point: 52)에 포커스될 수 있다. 컬렉터 챔버(48)에서, 방사선 빔(56)은 조명 광학 유닛(44) 내에서 수직 입사 반사기들(53 및 54)을 통해 패터닝 디바이스 지지체(예를 들어, 레티클 또는 마스크 테이블)(MT) 상에 위치된 패터닝 디바이스(예를 들어, 레티클 또는 마스크) 상으로 반사된다. 패터닝된 빔(57)이 형성되며, 이는 투영 시스템(PL)에서 반사 요소들(58 및 59)을 통해 웨이퍼 스테이지 또는 기판 테이블(WT) 상에 이미징된다. 일반적으로, 조명 광학 유닛(44) 및 투영 시스템(PL) 내에는 도시된 것보다 더 많은 요소들이 존재할 수 있다.
방사선 컬렉터(50)는 본 명세서에 참고문헌으로 인용참조된, 유럽 특허 출원 03077675.1에 개시된 바와 같은 컬렉터일 수 있다.
대안적으로, 다른 일 실시예에서, 방사선 컬렉터는 모아진 방사선을 방사선 빔 방출 어퍼처(radiation beam emission aperture)로 포커스하도록 구성된 1 이상의 컬렉터; 소스와 같은 공간에 있는(coincide) 제 1 초점 및 방사선 빔 방출 어퍼터와 같은 공간에 있는 제 2 초점을 갖는 컬렉터; 수직 입사 컬렉터; 단일의 실질적인 타원형 방사선을 컬렉팅하는 표면부(single substantially ellipsoid radiation collecting surface section)를 갖는 컬렉터; 및 두 개의 방사선 컬렉팅 표면을 갖는 슈바르츠실트 컬렉터이다.
또한, 또 다른 일 실시예에서, 방사선 소스(SO)는 간섭광(coherent light), 선정된 파장의 빔을 연료 상으로 포커스되도록 구성된 광원(light source)을 포함하는 레이저 생성 플라즈마(laser produced plasma: LPP) 소스일 수 있다.
예를 들어, 도 3은 수직 입사 컬렉터(70)을 포함하는 방사선 시스템(42)의 일 실시예를 단면으로 도시한다. 컬렉터(70)는 두 개의 실재 타원형 초점들(natural ellipse focus points)(F1 및 F2)을 갖는 타원형의 형태(configuration)을 갖는다. 특히, 수직 입사 컬렉터는 타원형 부분의 구조(geometry)를 갖는 단일 방사선 컬렉팅 표면(70s)을 갖는 컬렉터를 포함한다. 바꿔 말하면, 타원형 방사선 컬렉팅 표면부는 [도면에 실선(E)으로 나타낸 부분인] 가상의 타원형을 따라서 연장된다.
컬렉터 거울(70)이 타원체[즉, 타원형을 따라 연장된 반사 표면(70s)을 포함]인 경우, 당업자에 의해 인식될 것과 같이, 컬렉터 거울은 제 1 초점(F1)에서 제 2 초점(F2)로 방사선을 포커스한다. 초점은 타원형의 중앙으로부터 거리 f=(a2-b2)l/2로 타원형의 장축 상에 위치되며, 여기서 2a 및 2b는 각각 장축의 길이 및 단축의 길이이다. 이런 경우에, 도 1에 도시된 일 실시예는 LPP 방사선 소스(SO)를 포함하고, 컬렉터는 도 3에 도시된 바와 같이 단일의 타원형 거울일 것이며, 여기서 광원(SO)은 제 1 초점(F1) 내에 위치되고 중간 포커스(intermediate focus)(IF)는 거울의 제 2 초점(F2)에 정주(established)된다. 방사선 소스로부터 방사(emanating)되고, 제 1 초점(F1)에서 반사 표면(70s) 및 반사된 방사선 쪽으로 위치되며, 반사 표면에 의해 제 2 초점(F2) 쪽으로 반사되는 방사선은 도면에서 선(r)으로 나타낸다. 예를 들어, 일 실시예에 따라, 언급된 중간 포커스(IF)가 리소그래피 장치의 컬렉터와 조명 시스템(IL)[도 1 및 도 2 참조] 사이에 위치되거나, 필요에 따라서 조명 시스템(IL) 내에 위치될 수 있다.
도 4는 개략적으로 컬렉터(170)를 포함하는 본 발명의 일 실시예에 따른 방사선 소스 유닛(42')을 단면으로 도시한다. 이런 경우에, 컬렉터는 두 개의 수직 입사 컬렉터 부분들(170a 및 170b)을 포함하며, 각각의 부분들(170a 및 170b)은 (필수적이지는 않지만) 바람직하게는 실질적인 타원형의 방사선 컬렉팅 표면부를 갖는다. 특히, 도 4의 실시예는 슈바르츠실트형 컬렉터 디자인을 포함하며, 바람직하게는 두 개의 거울들(170a 및 170b)로 구성된다. 소스(SO)는 제 1 초점(F1) 내에 위치될 수 있다. 예를 들어, 제 1 컬렉터 거울 부분(170a)은 제 1 초점(F1)에서 방사된 방사선을 제 2 컬렉터 거울 부분(170b) 쪽으로, 특히 제 2 초점(F2) 쪽으로 포커스하도록 구성되는 (예를 들어, 타원형 또는 포물선형의) 오목한 반사 표면을 가질 수 있다. 제 2 거울 부분(170b)은 제 1 거울 부분(170a)에 의해 지향된 방사선을 제 2 초점(F2) 쪽으로, 추가적인 포커스 지점(IF)[예를 들어, 중간 포커스] 쪽으로 포커스하도록 구성될 수 있다. 제 1 거울 부분(170a)은 [제 2 거울(170b)에 의해 반사된] 방사선이 추가적인 포커스 지점(IF) 쪽으로 투과될 수 있는 어퍼처(172)를 포함한다. 예를 들어, 도 4의 실시예는 유익하게는 DPP 방사선 소스와 조합하여 사용될 수 있다.
방사선 컬렉터(70)는 소스에 의해 발생되는 방사선을 모으고, 모아진 방사선을 방사선 시스템(42)의 하류의(downstream) 방사선 빔 방출 어퍼처(60)로 포커스하도록 구성될 수 있다.
예를 들어, 소스(SO)는 발산 방사선(diverging radiation)을 방출하도록 구성될 수 있으며, 컬렉터(70)는 수렴 방사선 빔(converging radiation beam)을 제공하고, (도 3 및 도 4에서와 같이) 방출 어퍼처(60) 쪽으로 수렴되도록 발산 방사선을 반사하도록 배열될 수 있다. 특히, 컬렉터(70)는 광학 축선(O) 상의 초점(IF)상으로 방사선을 포커스할 수 있으며, 이 초점(IF)은 방출 어퍼처(60)에 위치된다.
방출 어퍼처(60)는 원형 어퍼처이거나, 다른 형태(예를 들어, 타원형, 사각형, 또는 다른 형태)를 가질 수 있다. 방출 어퍼처(60)는 바람직하게는 [예를 들어, 상기 어퍼처가 원형 단면을 갖는 경우에 반경 반향으로, 방사선 투과 방향(T)과는 횡단 방향(direction transversally)으로 측정하여] 예를 들어 약 10 ㎝ 미만, 바람직하게는 1 ㎝ 미만의 직경을 가질 정도로 작다. 바람직하게는, 광학 축선(O)은 어퍼처(60)를 통과하여 중앙으로 연장되나, 필수적인 것은 아니다.
레이저 생성 플라즈마(LPP) 소스 또는 방전 생성 플라즈마(DPP) 소스를 사용하는 경우에, 많은 양의 잔해가 고속 이온(fast ion) 및/또는 중성 입자(예를 들어, 주석)의 형태로 생성될 수 있다. 이러한 잔해는 도 2 내지 도 4에 도시된 컬렉터 거울(50, 70, 및 170)의 반사율 손실을 빠르게 야기할 수 있다. 보호되지 않는다면, 컬렉터 거울(50, 70, 및 170)의 수명은 몇 개월에서 몇 분으로 짧아질 수 있다.
컬렉터 거울(50, 70, 및 170) 상에 방사선 입자(particle radiation)에 의해 가해지는 손상을 저감하기 위하여, 플라즈마 주위에 가스 커튼(gas curtain)을 사용하는 것이 제안된다. 일 실시예에서, 가스 커튼은 고속 이온들(예를 들어, 주석 이온들)을 포함하는 입자들을 빗나가게 하기 위하여 플라즈마에 의해 발생되는 잔해의 페클렛형 억제기(Peclet type suppression)와 조합하여 사용된다. 페클렛 효과는 확산, 종종 열적 확산의 비율에 대한 흐름의 이류(advection) 비율을 설명한다. 열적 확산의 경우에는 레이놀즈 수(Reynolds number)와 프란틀 수(Prandtl number)의 곱과 같고, 질량 분산의 경우에는 레이놀즈 수와 슈미트 수(Schmidt number)의 곱과 같다. 본 기술분야에 잘 알려진 것과 같이, 이류(advection)는 물질 또는 유체(moving fluid)에 관한 보존 특성의 수송 메커니즘이다.
도 5를 참조하면, 이 도해는 본 발명의 일 실시예에 따른 플라즈마 소스(200)의 단면을 도시한다. 플라즈마 소스(200)은 약 100 ㎩의 압력으로 수소 분위기를 함유하는 플라즈마 챔버(210)를 포함한다. 또한, 상기 챔버(210)는 방사선 빔(220)이 노즐(215)을 통과하도록 구성된 노즐(215) 및 컬렉터 거울(270)을 포함한다. 본 명세서에 나타낸 바와 같이, 상기 소스는 레이저 생성 플라즈마 소스이다. (도 5에는 도시되지 않은) 방사선 소스에 의해 발생되는 방사선 빔(220)[예를 들어, 레이저 빔]은 플라즈마(225)를 발생시키기 위하여 연료(예를 들어, 주석)를 점화하도록 구성된다. 플라즈마가 컬렉터 거울(270)에 의해 모여지며 반사되는 극자외 방사선을 포함하는 방사선 빔을 방출한다.
또한, 플라즈마 소스는 사용 시에 기체 흐름(240; '제 1 기체 흐름'으로 명명될 수 있음)을 플라즈마(225) 쪽으로 공급하도록 구성된 1 이상의 기체 방출구(235)을 함유하는 잔해 저감 시스템(230)을 포함한다. 기체 흐름(240)은 실질적으로 방사선 빔(220)의 전파 방향에 수직인 방향으로 지향된다. 기체 흐름(240)은 플라즈마(225)에 의해 발생된 이온들(예를 들어, 주석 이온들)을 열적 평형화시키도록 구성된 가스 커튼과 같은 역할을 한다. 일 실시예에서, 기체 흐름은 아르곤을 함유하며 약 90 ㎩의 압력을 갖는다. 아르곤의 압력은 소스의 구조(geometry)에 따라서 더 높거나 더 낮을 수 있다는 것이 고려된다.
도 5에 도시된 바와 같이, 잔해 저감 시스템(230)은 또한 기체 흐름(250; '제 2 기체 흐름'으로 명명될 수 있음)을 플라즈마(225) 쪽으로 공급하기에 적합한 기체 공급 시스템(245)을 포함한다. 기체 흐름(250)은 효과적으로 플라즈마(225)[예를 들어, 주석 이온]에 의해 발생되는 잔해가 페클렛 효과를 사용하여 컬렉터 거울(270) 상에 침적되는 것을 방지한다. 일 실시예에서, 기체 흐름(250)은 수소를 함유한다.
기체 공급 시스템(245)만의 사용으로는 컬렉터 거울(270)을 효과적으로 보호하기에 충분하지 않을 수 있다. 실제로, 고 에너지 이온들은 수소와 이온들(예를 들어, 주석 이온들) 사이의 충돌에 대한 운동량으로의 제한된 전이(limited transfer)로 인해 수소(250)의 기체 역류(gas counterflow)에 의해 완전히 멈추지 못할 수 있다. 그 결과, 고 에너지 이온들을 컬렉터 거울(270)에서 제거하기 전에 플라즈마(225)에 의해 발생되는 고 에너지 이온들을 처음에 열적 평형화시키는 것이 매우 바람직하다. 특히, 이온 열적 평형화(Ion thermalization)는 레이저 생성 플라즈마(LLP) 소스의 사용에 의한 것이 바람직하며, 이는 약 3 내지 7 keV의 범위 내의 에너지를 갖는 이온들을 발생시킨다. 이와 비교하여, 방전 생성 플라즈마(DPP) 소스는 약 100 keV의 에너지를 갖는 이온들을 발생시킨다. 따라서, DPP 소스 내의 2 ㎩*m의 수소 기체 흐름은 플라즈마(225)에 의해 발생되는 이온화된 입자들을 효과적으로 멈추는데 충분할 수 있다.
가스 커튼(240)에 대한 고 에너지 이온들의 열적 평형화는 수소에 비해 아르곤의 질량이 더 무겁기(higher) 때문에 훨씬 빠르다. 열적 평형화된 후에, 고 에너지 이온들은 수소 기체 흐름(250)으로 인한 페클렛 효과에 의해 컬렉터 거울(270)에 도달하는 것으로부터 효과적으로 방지된다. 기체 방출구(235)에 의해 공급된 아르곤은 컬렉터 챔버 내의 수소 압력 및 기체 흐름(250)에 의해 수용(contained)된다. 그 결과, 극자외선(extreme ultraviolet) 손실이 방출구 영역으로만 제한된다. 방출구 영역 바깥쪽의 기체 구성요소(gas constituency)는 두드러지게 변화되지 않는다.
도 6을 참고하면, 이 도해는 본 발명의 일 실시예에 따른 기체 공급 시스템(245)을 도시한다. 기체 공급 시스템(245)은 컬렉터 거울(270)에 근접한 위치에 배열된다. 기체 공급 시스템(245)은 노즐(215)의 반대편에 위치되고 상기 거울(270)의 길이를 따라서 실제적으로 연장되는 복수의 가스 매니폴드(247)를 포함할 수 있다. 노즐(215)은 연료를 점화시키는 방사선의 레이저 빔이 노즐을 통과하도록 하는 어퍼처(216)로 정의한다. 각각의 매니폴드(247)는 수소의 흐름(250)을 공급하는 복수의 기체 방출구(246)를 포함한다. 매니폴드의 기체 방출구는 수소의 흐름이 플라즈마(225) 쪽으로 지향되도록 특정 치수로 만들어지고(dimensioned) 배열된다. 방출구(246) 및 매니폴드(247)의 개수는 플라즈마 소스의 크기에 따라서 다양할 수 있다는 점이 인식될 것이다.
일 실시예에서, 매니폴드의 직경은 실제적으로 플라즈마에 의해 방출된 모든 방사선이 거울에 의해 모여지고 반사되도록 하기 위해, 컬렉터 거울(270)의 표면과 비교해서 상대적으로 작다. 도 6에서 볼 수 있는 것과 같이, 복수의 매니폴드(247)는 컬렉터 거울(270)에 근접한 위치에 배열된다. 일 실시예에서, 매니폴드는 컬렉터 거울(270)의 표면에 부착될 수 있다. 대안적으로, 매니폴드가 거울의 표면으로부터 짧은 거리, 예를 들어 소수의(a few) 미리미터 또는 소수의(a few) 센티미터의 범위로 제공될 수 있다.
매니폴드(247)는 기체 방출구(246)가 플라즈마(225) 쪽으로 배향되도록 챔버(210) 내에서 위치된다. 기체의 흐름(250)은 플라즈마의 발생 동안 매니폴드(247)에 의해 지속적으로 공급될 수 있다. 도 5 및 도 6의 챔버 형태(configuration)로 인하여, 플라즈마에 의해 발생되는 잔해(예를 들어, 주석 이온)는 실제적으로 컬렉터 거울(270)에 도달하지 않는다. 특히, 잔해의 흐름은 기체 흐름(240)에 의해 열적 평형화된 이후에 페클렛 효과 때문에 수소 흐름(250)에 의해 억제된다. 기체 수집 시스템(gas collection system)이 챔버(210) 내의 플라즈마에 의해 발생되는 잔해를 모으도록 배열될 수 있다.
도 6의 매니폴드(247)가 수소를 공급하도록 구성되나, 본 발명의 다른 실시예에서는 다른 기체 또는 기체의 혼합물이 사용될 수 있음을 이해할 것이다. 또한, 다양한 여타 구성물(arrangement)들이 챔버(210) 내에서 기체 흐름(240)[예를 들어, 아르곤]의 혼합을 방지하는데 사용될 수 있다는 것이 고려된다. 예를 들어, 일 실시예에서, 기체 흐름(240)이 수소보다 더 작은 압력으로 제공될 수 있다. 또 다른 일 실시예에서, 기체 흐름(240)은 초음속(supersonic)일 수 있다. 또한, 펌프가 소스(200)의 하부에서 기체 흐름(240)을 모으도록 플라즈마(225)의 하류에 제공될 수 있다.
도 7은 본 발명의 일 실시예에 따른 잔해 저감 시스템(231)을 포함하는 방사선 소스를 도시한다. 잔해 저감 시스템(231)의 작동 원리는 실제적으로 도 5에 개시된 바와 동일하다. 잔해 저감 시스템(231)은 플라즈마(225)에 의해 발생되는 잔해가 컬렉터 거울(270)에 도달하는 것을 방지하도록 구성된 기체 공급 시스템(245)과 연결하여 사용하기에 적합하다. 잔해 저감 시스템(231)은 기체 흐름(241)을 플라즈마(225) 쪽으로 공급하는 기체 방출구(236)를 포함한다. 기체 흐름(241)은 플라즈마(225)에 의해 발생되는 이온들을 열적 평형화시키도록 선택되는 기체를 함유한다. 열적 평형화된 이온들은 이후에 페클렛 효과를 사용하는 기체 공급 시스템(245)에 의해 발생되는 가스 흐름(250)[예를 들어, 수소]으로 억제될 수 있다. 본 발명의 일 실시예에서, 기체 방출구(236)에 의해 공급되는 기체는 아르곤이다. 아르곤은 약 90 ㎩의 압력으로 공급될 수 있다. 수소 공기는 약 100 ㎩의 압력이다.
도 7의 일 실시예에서, 기체 흐름(241)은 실제적으로 플라즈마(225) 쪽으로 지향된다. 기체 흐름(241)이 컬렉터 및 소스 챔버(210)의 체적(volume) 내에 확산되는 것을 방지하기 위하여, 기체 흐름은 초음속일 수 있다.
실질적으로, 기체 방출구(246)의 개수는 본 발명의 다른 실시예에서 다양할 수 있다. 예를 들어, 복수의 기체 방출구(246)는 고 에너지 이온들의 적절한 열적 평형화를 확실히 하기 위해 소스 챔버(210) 주변에 배열될 수 있다. 일 실시예에서, 기체 방출구(246)은 컬렉터 거울(270) 내에 제공될 수 있다. 대안적으로, 기체 방출구(246)는 도 6의 매니폴드(247)와 유사하게 복수의 가스 매니폴드의 형태로 제공될 수 있다. 또한, (도 7에 도시되지 않은) 기체 수집 시스템이 기체 흐름(246)을 모으기 위하여 기체 흐름(246)의 방향을 따라서 1 이상의 적절한 위치에 챔버(210)의 하류부(downstream)에 제공될 수 있다.
삭제
본 명세서에서는, IC 제조에 있어서 리소그래피 장치의 사용에 대하여 언급되지만, 본 명세서에 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 안내 및 검출 패턴, 평판 디스플레이(flat-panel display), 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 적용예들을 가질 수도 있음을 이해하여야 한다. 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"라는 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"라는 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해하여야 한다. 본 명세서에서 언급되는 기판은 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트 층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사 툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재 내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
광학 리소그래피와 관련하여 본 발명의 실시예들의 특정 사용예를 언급하였지만, 본 발명은 다른 적용예들, 예를 들어 임프린트 리소그래피에 사용될 수 있으며, 본 명세서가 허용한다면 광학 리소그래피로 제한되지 않는다는 것을 이해할 것이다. 임프린트 리소그래피에서, 패터닝 디바이스 내의 토포그래피(topography)는 기판 상에 생성된 패턴을 정의한다. 패터닝 디바이스의 토포그래피는 전자기 방사선, 열, 압력 또는 그 조합을 인가함으로써 레지스트가 경화되는 기판에 공급된 레지스트 층으로 가압될 수 있다. 패터닝 디바이스는 레지스트가 경화된 후에 그 안에 패턴을 남기는 레지스트로부터 이동된다.
본 발명의 특정 실시예가 설명되었지만 본 발명의 실시예들은 설명된 것과 다르게 실시될 수 있다는 것을 이해하여야 한다. 예를 들어, 본 발명은 앞서 개시된 바와 같은 방법을 구현하는 기계-판독가능한 명령어의 1 이상의 시퀀스를 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되어 있는 데이터 저장 매체(예를 들어, 반도체 메모리, 자기 또는 광학 디스크)의 형태를 취할 수 있다.
상기 서술내용은 예시를 위한 것이지, 제한하려는 것이 아니다. 따라서, 당업자라면 아래에 설명되는 청구항들의 범위를 벗어나지 않고 서술된 본 발명에 대한 변형예가 행해질 수도 있음을 이해할 것이다.
본 발명은 실시예에 서술된 바와 같은 리소그래피 장치의 적용 또는 리소그래피 장치에서의 사용에 제한되지 않는다. 또한, 도면들은 통상적으로 본 발명을 이해하는데 필요한 요소들 및 특징들만을 포함한다. 그밖에, 리소그래피 장치의 도면들은 개략적이며, 정확한 스케일이 아니다. 본 발명은 개략적인 도면들 내에 나타낸 그 요소들(예를 들어, 개략적인 도면들 내에 도시된 거울들의 개수)에 제한되지 않는다. 또한, 본 발명은 도 1 및 도 2에 관하여 설명된 리소그래피 장치에 한정되지 않는다. 본 기술분야의 당업자라면 상기에 서술된 실시예가 조합될 수 있음을 이해할 것이다. 또한, 본 발명은 예를 들어, 소스(SO)로부터의 Sn뿐만 아니라 다른 소스로부터의 여타 입자들에 대항하는 보호로 제한되지 않는다.

Claims (15)

  1. 리소그래피 장치에 있어서:
    극자외(extreme ultraviolet) 방사선을 생성하도록 구성되는 방사선 소스 - 상기 방사선 소스는 레이저-생성(laser-produced) 플라즈마 소스임 - 를 포함하고, 상기 방사선 소스는
    플라즈마가 발생되는 챔버;
    상기 플라즈마에 의해 방출된 방사선을 초점(focal point)을 향해 반사시키도록 구성되는 표면을 갖는 컬렉터 거울; 및
    잔해 저감 시스템(debris mitigation system)을 포함하며, 상기 잔해 저감 시스템은
    상기 초첨을 향해 상기 컬렉터 거울에 의해 반사되는 방사선의 전파 방향에 수직하는 방향으로 상기 플라즈마 쪽으로 제 1 기체 흐름(240)을 공급하여 상기 플라즈마 주위에 가스 커튼(gas curtain)을 형성하도록 구성되는 기체 공급 시스템 - 상기 제 1 기체 흐름은 플라즈마에 의해 발생되는 잔해를 열적 평형화(thermalize)시키기 위하여 선택됨 -, 및
    상기 플라즈마와 상기 컬렉터 거울의 표면 사이의 위치 및 상기 컬렉터 거울의 표면에 근접한 위치에 배열되고, 상기 컬렉터 거울의 길이를 따라 연장되는 복수의 가스 매니폴드들(gas manifolds)
    를 포함하고,
    상기 가스 매니폴드들 각각은 직경 및 상기 챔버 내에 제 2 기체 흐름(250)을 공급하도록 구성된 복수의 기체 방출구들을 갖고, 상기 제 2 기체 흐름은 상기 플라즈마 쪽으로 지향되어 열적 평형화된 잔해가 컬렉터 거울 상에 침적(depositing)되는 것을 방지하는 리소그래피 장치.
  2. 제 1항에 있어서,
    상기 잔해가 페클렛 효과(Peclet effect)를 사용함으로써 억제되는 리소그래피 장치.
  3. 삭제
  4. 제 1항에 있어서,
    상기 챔버가 수소를 함유하는 리소그래피 장치.
  5. 제 4항에 있어서,
    상기 수소의 압력이 100 ㎩인 리소그래피 장치.
  6. 제 1항에 있어서,
    상기 제 2 기체 흐름에서의 기체가 수소인 리소그래피 장치.
  7. 제 1항에 있어서,
    상기 가스 매니폴드가 복수의 기체 방출구를 포함하는 리소그래피 장치.
  8. 제 1항에 있어서,
    상기 제 1 기체 흐름에서의 기체가 아르곤인 리소그래피 장치.
  9. 제 1항에 있어서,
    상기 제 1 기체 흐름에서의 기체가 상기 제 2 기체 흐름에서의 기체보다 더 낮은 압력을 갖는 리소그래피 장치.
  10. 제 1항에 있어서,
    상기 제 1 기체 흐름이 플라즈마 주위에 가스 커튼(gas curtain)을 형성하는 리소그래피 장치.
  11. 제 1항에 있어서,
    상기 제 1 기체 흐름이 초음속(supersonic)인 리소그래피 장치.
  12. 제 1항에 있어서,
    상기 기체 공급 시스템이 컬렉터 거울에 근접하게 배열된 1 이상의 방출구를 포함하는 리소그래피 장치.
  13. 극자외 방사선을 생성하도록 구성되는 방사선 소스 - 상기 방사선 소스는 레이저-생성 플라즈마 소스임 - 에 있어서:
    플라즈마가 생성되는 챔버;
    상기 플라즈마에 의해 방출된 방사선을 초점을 향하여 반사시키도록 구성되는 표면을 갖는 컬렉터 거울; 및
    잔해 저감 시스템을 포함하고, 상기 잔해 저감 시스템은
    상기 초점을 향해 상기 컬렉터 거울에 의해 반사된 방사선의 전파 방향에 수직하는 방향으로 상기 플라즈마 쪽으로 제 1 기체 흐름(240)을 공급하여 상기 플라즈마 주위에 가스 커튼을 형성하도록 구성되는 기체 공급 시스템 - 상기 제 1 기체 흐름은 플라즈마에 의해 발생된 잔해를 열적 평형화시키기 위하여 선택됨 - , 및
    상기 플라즈마와 상기 컬렉터 거울의 표면 사이의 위치 및 상기 컬렉터 거울의 표면에 근접한 위치에 배열되고, 상기 컬렉터 거울의 길이를 따라 연장되는 복수의 가스 매니폴드
    를 포함하고, 각각의 가스 매니폴드는 직경 및 챔버 내에 제 2 기체 흐름(250)을 공급하도록 구성되는 복수의 기체 방출구를 갖고, 상기 제 2 기체 흐름은 상기 플라즈마 쪽으로 지향되어 열적 평형화된 잔해가 상기 컬렉터 거울 상에 침적되는 것을 방지하는 방사선 소스.
  14. 플라즈마에 의해 생성된 잔해가 리소그래피 장치 내에 레이저-생성 플라즈마 소스의 컬렉터 거울 상에 침적되는 것을 방지하는 방법으로서:
    초점을 향해 상기 컬렉터 거울에 의해 반사된 방사선의 전파 방향에 수직하는 방향으로 상기 플라즈마 쪽으로 제 1 기체 흐름(240)을 공급하여 상기 플라즈마 주위에 가스 커튼을 형성하는 단계 - 상기 제 1 기체 흐름은 플라즈마에 의해 발생된 잔해를 열적 평형화시키도록 구성됨 - ; 및
    제 2 기체 흐름을 상기 플라즈마 쪽으로 공급하여 상기 플라즈마에 의해 발생되는 열적 평형화된 잔해가 컬렉터 거울 상에 침적되는 것을 방지하는 단계 - 상기 제 2 기체 흐름은, 상기 플라즈마와 상기 컬렉터 거울의 반사면 사이의 위치 및 상기 컬렉터 거울의 반사면에 근접한 위치에 배열되고, 상기 컬렉터 거울의 길이를 따라 연장된 복수의 가스 매니폴드로 공급되고, 각각의 가스 매니폴드는 직경 및 제 2 기체 흐름이 공급되는 복수의 개구부를 가짐 - ;
    를 포함하여 이루어지는,
    플라즈마에 의해 생성된 잔해가 리소그래피 장치 내에 플라즈마 방사선 소스의 컬렉터 거울 상에 침적되는 것을 방지하는 방법.
  15. 레이저-생성 플라즈마 소스를 사용하는 디바이스 제조 방법에 있어서:
    극자외 방사선을 방출하는 플라즈마를 발생시키는 단계;
    상기 극자외 방사선을 컬렉터 거울로 모으는 단계;
    상기 극자외 방사선을 방사선의 빔으로 전환시키는 단계;
    상기 방사선의 빔을 패터닝하는 단계;
    상시 방사선의 빔을 기판의 타겟부 상으로 투영시키는 단계; 및
    상기 플라즈마에 의해 생성된 잔해가 컬렉터 거울 상에 침적되는 것을 방지하는 단계
    를 포함하여 이루어지고, 상기 방지하는 단계는
    상기 컬렉터 거울에 의해 반사된 방사선의 전파 방향에 수직하는 방향으로 상기 플라즈마 쪽으로 제 1 기체 흐름(240)을 공급하여 상기 플라즈마 주위에 가스 커튼을 형성하는 단계 - 상기 제 1 기체 흐름은 플라즈마에 의해 발생된 잔해를 열적 평형화시키도록 구성됨 - ; 및
    상기 플라즈마 쪽으로 제 2 기체 흐름을 공급하여 상기 플라즈마에 의해 발생된 열적 평형화된 잔해가 상기 컬렉터 거울 상에 침적되는 것을 방지하는 단계
    를 포함하여 이루어지고, 상기 제 2 기체 흐름은, 상기 플라즈마와 상기 컬렉터 거울의 반사면 사이의 위치 및 상기 컬렉터 거울의 반사면에 근접한 위치에 배열되고, 상기 컬렉터 거울의 길이를 따라 연장된 복수의 가스 매니폴드로 공급되고, 각각의 가스 매니폴드는 직경 및 제 2 기체 흐름이 공급되는 복수의 개구부를 갖는 디바이스 제조 방법.
KR1020117005534A 2008-08-14 2009-07-30 방사선 소스, 리소그래피 장치 및 디바이스 제조방법 KR101652361B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13614408P 2008-08-14 2008-08-14
US61/136,144 2008-08-14

Publications (2)

Publication Number Publication Date
KR20110055610A KR20110055610A (ko) 2011-05-25
KR101652361B1 true KR101652361B1 (ko) 2016-08-30

Family

ID=41259409

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117005534A KR101652361B1 (ko) 2008-08-14 2009-07-30 방사선 소스, 리소그래피 장치 및 디바이스 제조방법

Country Status (7)

Country Link
US (1) US9207548B2 (ko)
JP (1) JP5732392B2 (ko)
KR (1) KR101652361B1 (ko)
CN (1) CN102119365B (ko)
NL (1) NL2003310A1 (ko)
TW (1) TWI468874B (ko)
WO (1) WO2010017892A1 (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102782582A (zh) * 2010-03-12 2012-11-14 Asml荷兰有限公司 辐射源、光刻设备以及器件制造方法
WO2011113591A2 (en) 2010-03-18 2011-09-22 Eth Zurich Optical collector for collecting extreme ultraviolet radiation, method for operating such an optical collector, and euv source with such a collector
WO2011116898A1 (en) 2010-03-25 2011-09-29 Eth Zurich Steering device for controlling the direction and/or velocity of droplets of a target material and extreme euv source with such a steering device
US20130134318A1 (en) 2010-03-25 2013-05-30 Reza Abhari Beam line for a source of extreme ultraviolet (euv) radiation
JP5732525B2 (ja) * 2010-04-22 2015-06-10 エーエスエムエル ネザーランズ ビー.ブイ. コレクタミラーアセンブリおよび極端紫外線放射の生成方法
US8648999B2 (en) 2010-07-22 2014-02-11 Cymer, Llc Alignment of light source focus
US9268031B2 (en) 2012-04-09 2016-02-23 Kla-Tencor Corporation Advanced debris mitigation of EUV light source
KR101349898B1 (ko) * 2012-08-30 2014-01-16 한국과학기술연구원 극자외선 빔을 생성하기 위한 모듈
CN103809385A (zh) * 2012-11-12 2014-05-21 川宝科技股份有限公司 曝光机光罩结构的水平角度调整机构及方法
CN103108481B (zh) * 2012-11-30 2016-03-30 中国科学院微电子研究所 一种集光系统防污染保护装置
CN103064259B (zh) * 2012-12-10 2014-11-12 华中科技大学 一种极紫外激光等离子体光源碎屑的隔离方法及系统
WO2015086232A1 (en) * 2013-12-09 2015-06-18 Asml Netherlands B.V. Radiation source device, lithographic apparatus and device manufacturing method
US9989863B2 (en) * 2014-02-24 2018-06-05 Asml Netherlands B.V. Lithographic system
US9377693B2 (en) * 2014-03-13 2016-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Collector in an extreme ultraviolet lithography system with optimal air curtain protection
US10101664B2 (en) * 2014-11-01 2018-10-16 Kla-Tencor Corporation Apparatus and methods for optics protection from debris in plasma-based light source
CN104698773B (zh) * 2015-03-31 2017-06-16 上海华力微电子有限公司 光刻对准标记结构及其制造方法
US9538628B1 (en) * 2015-06-11 2017-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method for EUV power improvement with fuel droplet trajectory stabilization
CN106324996B (zh) * 2015-06-15 2017-10-20 中国科学院上海光学精密机械研究所 光刻机原位多通道成像质量检测装置及方法
JP6556250B2 (ja) * 2015-11-06 2019-08-07 ギガフォトン株式会社 極端紫外光生成装置
US10310380B2 (en) * 2016-12-07 2019-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-brightness light source
US10955749B2 (en) 2017-01-06 2021-03-23 Asml Netherlands B.V. Guiding device and associated system
CN117202469A (zh) * 2017-01-06 2023-12-08 Asml荷兰有限公司 引导装置和相关联的系统
JP7467174B2 (ja) 2020-03-16 2024-04-15 ギガフォトン株式会社 チャンバ装置、極端紫外光生成装置、及び電子デバイスの製造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006080255A (ja) 2004-09-09 2006-03-23 Komatsu Ltd 極端紫外光源装置
US20060243927A1 (en) 2005-04-29 2006-11-02 Tran Duc C Method and arrangement for the suppression of debris in the generation of short-wavelength radiation based on a plasma
JP2008108945A (ja) 2006-10-26 2008-05-08 Ushio Inc 極端紫外光光源装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6972421B2 (en) * 2000-06-09 2005-12-06 Cymer, Inc. Extreme ultraviolet light source
US6614505B2 (en) * 2001-01-10 2003-09-02 Asml Netherlands B.V. Lithographic projection apparatus, device manufacturing method, and device manufactured thereby
US7026629B2 (en) * 2001-12-28 2006-04-11 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI242690B (en) * 2002-08-15 2005-11-01 Asml Netherlands Bv Reflector assembly, lithographic projection apparatus, radiation system with the reflector assembly, and method of manufacturing an integrated structure by a lithographic process
US7217941B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Systems and methods for deflecting plasma-generated ions to prevent the ions from reaching an internal component of an EUV light source
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
DE10337667B4 (de) * 2003-08-12 2012-03-22 Xtreme Technologies Gmbh Plasma-Strahlungsquelle und Anordnung zur Erzeugung eines Gasvorhangs für Plasma-Strahlungsquellen
DE602004003015T2 (de) * 2003-10-06 2007-02-08 Asml Netherlands B.V. Verfahren und Gerät zur Herstellung einer Schutzschicht auf einem Spiegel
US7167232B2 (en) 2003-12-30 2007-01-23 Asml Netherlands B.V. Lithographic apparatus and radiation source comprising a debris-mitigation system and method for mitigating debris particles in a lithographic apparatus
KR101123187B1 (ko) * 2004-03-31 2012-03-19 에이에스엠엘 네델란즈 비.브이. 단파 방사의 생성 동안 방사원에 의해 생성되는 입자를제거하기 위한 방법 및 장치
US8094288B2 (en) * 2004-05-11 2012-01-10 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
CN101218543A (zh) * 2005-06-14 2008-07-09 皇家飞利浦电子股份有限公司 具有改进气体分布的碎片抑制系统
US7397056B2 (en) * 2005-07-06 2008-07-08 Asml Netherlands B.V. Lithographic apparatus, contaminant trap, and device manufacturing method
JP2007220949A (ja) 2006-02-17 2007-08-30 Ushio Inc 極端紫外光光源装置および極端紫外光光源装置における集光光学手段の汚染抑制方法
TW200808134A (en) * 2006-07-28 2008-02-01 Ushio Electric Inc Light source device for producing extreme ultraviolet radiation and method of generating extreme ultraviolet radiation
JP2008053696A (ja) 2006-07-28 2008-03-06 Ushio Inc 極端紫外光光源装置および極端紫外光発生方法
CN101785369A (zh) 2007-08-23 2010-07-21 Asml荷兰有限公司 用于产生极紫外辐射的模块和方法
US7655925B2 (en) * 2007-08-31 2010-02-02 Cymer, Inc. Gas management system for a laser-produced-plasma EUV light source

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006080255A (ja) 2004-09-09 2006-03-23 Komatsu Ltd 極端紫外光源装置
US20060243927A1 (en) 2005-04-29 2006-11-02 Tran Duc C Method and arrangement for the suppression of debris in the generation of short-wavelength radiation based on a plasma
JP2008108945A (ja) 2006-10-26 2008-05-08 Ushio Inc 極端紫外光光源装置

Also Published As

Publication number Publication date
TWI468874B (zh) 2015-01-11
JP5732392B2 (ja) 2015-06-10
CN102119365A (zh) 2011-07-06
US20110188014A1 (en) 2011-08-04
JP2011530819A (ja) 2011-12-22
KR20110055610A (ko) 2011-05-25
CN102119365B (zh) 2013-06-05
US9207548B2 (en) 2015-12-08
TW201009513A (en) 2010-03-01
NL2003310A1 (nl) 2010-02-16
WO2010017892A1 (en) 2010-02-18

Similar Documents

Publication Publication Date Title
KR101652361B1 (ko) 방사선 소스, 리소그래피 장치 및 디바이스 제조방법
JP5732525B2 (ja) コレクタミラーアセンブリおよび極端紫外線放射の生成方法
KR101495208B1 (ko) 극자외 방사선을 생성하는 방법 및 모듈
EP1674932B1 (en) Lithographic apparatus, illumination system and debris trapping system
US8749756B2 (en) Lithographic apparatus and device manufacturing method
KR101668338B1 (ko) 스펙트럼 퓨리티 필터 및 리소그래피 장치
US20120327381A1 (en) Radiation Source, Lithographic Apparatus and Device Manufacturing Method
JP2010062560A (ja) 放射源、リソグラフィ装置及びデバイス製造方法
KR20120130321A (ko) 방사선 소스, 리소그래피 장치 및 디바이스 제조 방법
US20120182537A1 (en) Spectral purity filter, lithographic apparatus, and device manufacturing method
KR20100121677A (ko) 자석을 포함하는 리소그래피 장치, 리소그래피 장치에서 자석의 보호를 위한 방법, 및 디바이스 제조 방법
KR20160091979A (ko) 장치, 디바이스 및 디바이스 제조 방법
NL2005763A (en) Lithographic apparatus.
NL2004969A (en) Radiation source, lithographic apparatus and device manufacturing method.

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant