KR20060129155A - 최소 선폭의 균일성 증가 기판 패턴 방법 - Google Patents

최소 선폭의 균일성 증가 기판 패턴 방법 Download PDF

Info

Publication number
KR20060129155A
KR20060129155A KR1020067002468A KR20067002468A KR20060129155A KR 20060129155 A KR20060129155 A KR 20060129155A KR 1020067002468 A KR1020067002468 A KR 1020067002468A KR 20067002468 A KR20067002468 A KR 20067002468A KR 20060129155 A KR20060129155 A KR 20060129155A
Authority
KR
South Korea
Prior art keywords
exposure
path
exposed
dose
paths
Prior art date
Application number
KR1020067002468A
Other languages
English (en)
Inventor
조나단 월포드
퍼 에스케이브저
로버트 에크런드
한스 포스쉬오그
Original Assignee
마이크로닉 레이저 시스템즈 에이비
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크로닉 레이저 시스템즈 에이비 filed Critical 마이크로닉 레이저 시스템즈 에이비
Publication of KR20060129155A publication Critical patent/KR20060129155A/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03CPHOTOSENSITIVE MATERIALS FOR PHOTOGRAPHIC PURPOSES; PHOTOGRAPHIC PROCESSES, e.g. CINE, X-RAY, COLOUR, STEREO-PHOTOGRAPHIC PROCESSES; AUXILIARY PROCESSES IN PHOTOGRAPHY
    • G03C5/00Photographic processes or agents therefor; Regeneration of such processing agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명은 레티클, 마스크 또는 웨이퍼와 같은 기판을 패턴닝하기위한 방법에 대한 것이며, 상기 방법이 최소 선폭 변경을 줄임을 특징으로 하는 것이며, CD 균일도를 개선시키는 것이다. 특히, 본원 발명은 기판에 적용되는 레지스트 또는 방사선 민감 층의 측정 가능 특징에 대한 멀티 패쓰 기록 전략의 패쓰에서 적용된 튜닝 도스에 대한 것이다. 특정 기록 전략이 설명된다. 본발명의 특징은 청구범위, 명세서 그리고 도면에 설명된다.

Description

최소 선폭의 균일성 증가 기판 패턴 방법{FURTHER METHOD TO PATTERN A SUBSTRATE}
본 발명은 레티클, 마스크 또는 웨이퍼과 같은 CD 균일도를 개선시키기 위해 최소 선폭 변동을 줄이는 기판을 패턴닝하기 위한 방법에 대한 것이다. 특히, 본 발명은 상기 기판에 적용된, 레지스트 또는 방사선에 민감한 층의 측정 가능 특성에 대한 멀티 패쓰 기록 전략의 통과로서 적용되는 튜닝 도스(tuning doses)에 대한 것이다. 특정 기록 전략이 설명된다.
반도체 소자 또는 장치는 여러 층의 구조를 포함한다. 이와 같은 구조는 레지스트를 적용하는 단계, 노출한 다음 여러 단계로 형성 된다. 상기 노출된 면적은 에칭 되어 물질을 제거하도록 하거나 물질을 추가시키도록 스퍼터 된다. 상기 레지스트내 패턴을 형성시키는 임계 부분이 이를 노출시킨다. 레지스트는 그 화학적 특성을 변경시키는 한 에너지 비임에 노출된다.
상기 레지스트를 노출시키는 한 가지 방법은 스텝퍼로 실행하는 것이다. 상기 스텝퍼는 레티클을 사용하는 데, 상기 레티클은 주의 스럽게 준비된, 노출되어질 부분 그리고 노출되지 않을 부분으로 패턴된 비-전달 또는 마스킹 층에 의해 겹쳐진 주의스럽게 준비된, 전달 수정 기판을 포함한다. 레티클은 반도체 그리고 평판 디스플레이, 텔레비전 또는 모니터 스크린과 같은 다른 전자 장치를 생산하도록 사용된다.
공간 광선 모듈레이터(SLM) 는 바람직한 패턴을 형성하기 위해 바람직한 형태로 세트될 수 있는 다수의 모듈레이터 엘리먼트로 구성된다. 반사 SLMs 은 가령 상기 마스크에 바람직한 패턴을 형성시키기 위해 DUV 또는 EUV와 같은 전자기 방사선에 노출될 수 있다.
(bitmap) 패턴을 허용하지만, 개별 픽셀로부터 패턴을 만드는 대신 한 플래쉬로 전체 프레임 패턴을 프린트 함으로써 원격하여 래스터 스캔닝하는 것에 대한 것이다.
상기 반도체 장치를 생산하기 위한 사용된 레티클 원판에서의 패턴은 웨이퍼 크기의 4배이다. 이 같은 축소로 인해 레티클 원판에서의 최소 특징 크기는 반도체 표면에서의 최소 특징 크기에서 보다는 덜 중대하다. 그러나 그 같은 임계 차이는 기대 했던 것 보다 훨씬 작으며 가까운 미래에는 결국 사라지게 될 것이다.
라인 폭의 퍼센트인 최소 선폭 균일(CD 균일)은 웨이퍼 표면에서의 특징보다 레티클에서의 패턴에서 더욱 정확하다. CD 균일성은 상기 패턴내 각기 다른 포인트에서의 단일 최소 선폭 변동 최소화와 관련이 있다. 다시말해서 동일한 최소 선폭을 갖는 특징들의 실제 크기 차이가 상기 패턴애에서 감소하기 때문에, CD 균일성은 증가한다. 웨이퍼에서는 선폭 10%내외 CD 균일성이 허용가능 한 것으로 알려져 있다. 웨이퍼 선폭에 대한 에러 버짓(error budget)에서, 상기 마스크는 상기 최소 선폭 변동에 절반, 또는 선폭 5%에 기여하도록 된다. 다른 조건들이 남아 있는 에러 버짓을 사용한다.
최소 선폭 제어는 노출 파장이 작아지기 때문에 마스크 생산 산업에서 더욱 더 중요하여 지고 있다. 레이저 패턴 발생장치의 경우, 종래의 DNQ/Novolak 사용 기술로부터 DUV 화학 증폭 레지스트 처리 기술로 향하는 기술 변환은 초기에는 문제가 되었다. 웨이퍼 스텝퍼와 대비하여 패턴 발생장치의 상대적으로 오랜 노출 시간은 상대적으로 낮은 가열 용량을 갖는 두꺼운 수정 기판과 결합하여, 광 생산 산의 과도한 확산으로 인해 리소그래피 성능을 떨어 뜨리게 되었다. 상기 포토레지스트 폴리머 아키텍쳐는 산기 산 확산 특성을 결정하는 데 큰 역할을 하였으며 이에의해 영상 충실도 및 해상도를 결정하는 데 큰 역할을 하였다.
최소 선폭 균일성에 대한 조건들은 특히 마스크의 경우 시간이 지나면서 더욱 엄격해질 것이다. 장래에는 웨이퍼의 표면에서, 5% 내외 선폭의 최소 선폭 균일성이 요구될 것이다. 동시에 이론상의 해상도 한계에 근접하는 특징 크기를 사용하기 위하여 접촉 구멍, 트랜지스터 또는 다른 임계 특징의 생산을 최적화 하기 위해 상기 리소그래피 처리와 같은 보다 적극적인 리소그래피 처리 균형(밸런스)으로 인해 마스크 에러 향상 팩터(mask error enhancement factor)가 증가 할수 있다. 마스크의 경우, 특징 크기에 대한 또는 선폭 1 퍼세트 내외의 최소 선폭 균일성이 기대된다. 이와 같은 선폭 균일성으로, 상기 마스크에서의 최소 선폭 허용오차는 노출되고 있는 웨이퍼에서의 면적에 4배인 마스크를 상기 스텝퍼가 이용한다는 사실에도 불구하고, 상기 웨이퍼 표면에서보다 절대 나노미터 크기로 더욱 작다.
따라서 최소 선폭 변동을 더욱 줄이게 될 레티클 또는 웨이퍼를 패턴닝하기 위한 개선된 방법을 개발하는 것이 바람직하다.
따라서 본 발명의 목적은 정밀한 최소 선폭을 갖는 기판에서의 작은 특징 부를 생산하는 방법을 제공하는 것이다.
본 발명은 CD 균일성을 개선하면서 최소 선폭 변동을 줄이는 레티클, 마스크 또는 웨이퍼와 같은 기판을 패턴닝하기 위한 방법에 대한 것이다. 특히, 상기 기판으로 적용된 레지스트 또는 방사선 민감 층의 측정가능 특성에 대한 멀티 패쓰 기록 전략의 통과로서 적용되는 튜닝 도스(tuning doses)에 대한 것이다.
도 1은 마스킹 또는 비-전달 층 및 레지스트 또는 방사선 민감 층을 포함하는 코팅 기판을 도시한 도면.
도 2는 Sigma7300 에 대한 시스템 원리를 도시한 도면.
도 3은 본 발명 검사에서 사용된 규칙적 기록 방법 그리고 다른 선택적 방법을 도시한 도면이며, 도 3a는 규칙적인 기록 모드, 도 3b는 리버스 기록 모드, 그리고 도 3c는 인터레이스 기록 모드를 도시한 도면.
도 4는 본 발명에 따른 작업 피이스를 패턴닝하는 흐름도를 도시한 도면.
도 5는 네 개의 라인이 4개의 기록 패쓰를 사용하여 노출됨을 도시한 도면.
도 6은 노출후 4개의 라인을 도시한 도면.
도 7은 디프로텍션 반응을 개략적으로 도시한 도면.
도 8은 DX 1100P 화학 작용에 대한 디프로텍션 반응을 개략적으로 도시한 도면.
도 9는 벌크 아세탈 블록킹 그룹의 사용을 도시한 도면.
도 10은 검사 패턴 위치로 측정된 회전 처리 특징을 도시한 도면.
도 11은 두 패쓰 사이 오버레이 에러를 그래프로 도시한 도면.
도 12는 각기 다른 기록 전략을 사용하는 5 개의 마스크 위치에 대한 노출을 도시한 도면.
도 13은 4 번 기록에 대한 6개의 실험 컴비네이션을 설명하는 그래프.
도 14는 다양한 기록 통과중에 적용된 의도적인 방해가 50회의 노출 팩터 유닛임을 설명하는 그래프.
도 15는 25내지 50퍼센트(250 및 500 노출 팩터 유닛)에 대한 더욱 높은 방해 도스(disturbance doses )의 영향을 설명하는 그래프.
도 16은 네가티브 도스 바이어스 영향을 결정하도록 된 두 번째 시도 세트를 도시한 도면.
도 17은 네가티브 라인 폭 바이어스를 1000 nm의 정상적인 특징 크기에 적용함을 도시한 도면.
하기에서는 첨부도면을 참조하여 본원발명을 상세히 설명한다.
도 1은 마스킹 또는 비-전달 층(102) 및 레지스트 층(104)을 포함하는 코팅된 기판(100)을 도시한다. 상기 기판(100)은 상기 기판이 레티클 또는 마스크인때 수정 기판, Erodent 세라믹 기판 또는 ULE 유리 기판일 수 있다. 그러나 직접 기록에 의해 집적 회로를 만드는 때, 상기 기판은 반도체 재료에 의할 수 있다. 이 같은 경우 상기 레지스트는 상기 기판으로 직접 적용된다.
상기 마스크는 상기 전달 기판위에 형성되어, 웨이퍼상의 레지스트가 노출되지 않도록 되는 영역에서 에너지 광선의 통과를 차단시키도록 한다. 상기 기판(100)의 마스크되지 않은 부분은 에너지 광선이 통과하도록 하며 상기 웨이퍼 레지스트에서 일정 패턴을 형성시키도록 한다.
상기 비-전달 마스킹 층(102)은 대략 40-90 nm 두께의 크롬 층을 포함한다. 상기 크롬 물질은 스퍼터링 증착에 의해 적용될 수 있다. 선택적으로, 알루미늄, 금, 텅스텐, 또는 실리콘등이 사용되어 상기 비-전달 마스킹 층을 형성하도록 할 수 있다.
상기 레지스트 층, 또는 방사선 민감 코팅이 종래의 기술을 사용하여 0.05-0. 20 미크론 두께의 층을 형성시키도록 한다. 상기 레지스트는 포지티브 레지스트 또는 네가티브 레지스트일 수 있다. 광자 에너지와 함께 사용되는 레지스트는 포토레지스트로 인용될 수 있다. 다른 종류의 레지스트가 전자, 원자 등과 같은 다른 형태의 에너지와 함께 사용된다. 상기 방사선 또는 에너지(106)는 수많은 광범위한 종류중 어느 하나 일 수 있다.
광자 에너지는 W, DUV, EUV, 또는 x-ray 스펙트럼 범위 일 수 있다. 가령, 광자 에너지는 헬륨 캐미엄 소스(helium cadmium source) (약 442 및 325 nm) 크립톤 이온 소스(krypton ion source) (약 413 nm)에 의해 발생될 수 있다. 광자 에너지는 또한 엑시머 소스 또는 크립톤-플루오라이드 또는 아르곤-플루오라이드 레이저(약 308, 248,193, 157 or 126 nm)로 발생될 수 있다. 상기 레지스트는 가령 클라리언트(Clariant)의 DX 1100일 수 있다. 상기 레지스터는 또한 Novolak와 같은 통상의 포지티브 또는 네가티브 비-증폭 레지스트일 수 있기도 하다. 레지스트에 대한 다른 예로는 Fujifilm로부터의 FEP 160 or 171등이 있다.
상기 레지스트의 노출은 패턴 발생기를 사용하여 수행된다. 광자 에너지의 경우, 레이저 패턴 발생기 또는 간섭 리소그래피 시스템이 사용될 수 있다. 전자의 경우, 전자-스캔닝 장치가 사용된다. Etec, Applied Materials의 자회사인 Etec 는 스캔닝 레이저 패턴 발생기 ALTATM 라인을 판매한다. Taby, Sweden의 Micronic Laser Systems 은 스캔닝 레이저 시스템의 Omega 라인 그리고 마이크로 미러-베이스 시스템의 Sigma 라인을 판매한다. Uiversity of Wisconsin 와 협력하는 NanoStructures Laboratory는 200nm, 100nm, 및 50nm의 공간을 갖는 간섭 리소그래피 시스템을 설명한다.
도 1에서의 대상 물체 픽셀(108)은 SLM에서의 마이크로 미러로부터의 반사된 방사선, 스캔닝 레이저 시스템으로부터 비임 스포트, 전자 비임, x-레이 광선등이다.
1. INTRODUCTION
더욱 높은 해상도를 가짐으로써 포토레지스트 물질 그리고 리소그래피 노출 장치 개발에 동력이 된다. 전통적으로 diazonaphtoquinone (DNQ)-Novolak 베이스 레지스트가 광학 마스크 생산에서 사용되어 왔다. 수년전 포지티브 화학 증폭 레지스트(CARs)를 사용하는 디프 자외선 (DUV) 레이저 패턴 발생기가 이들의 우수한 해상도, 콘트라스트, 그리고 포토 스피드로 인해 시장에서 소개되었다.
그러나 CARs는 공정 안정도, 특히 노출과 노출-후 베이크(bake)사이 지연으로 인한 잠복 영상 정보 손실과 관련하여 고유한 문제를 보여 주었다. 상기 레지스트-에어 인터페이스에서의 레지스트 특징 T-토핑 또는 클로쥬어는 공중 분자 베이스 오염으로 발생될 수 있으며, 광선에 의해 발생된 산의 아민에 의해 중화를 일으킨다. NQ/Novolak 베이스 레지스트와 대비하여, 화학적으로 증폭된 DUV 레지스트는 광화학 및 열에 의해 작용되는 반응에 의존한다. 상기 광 작용에 의한 산 발생기(PAG)의 노출로부터 산을 발생시킨다.
상승된 베이크 온도에서 상기 블록킹 그룹과 산의 확산 및 반응은 소수성 작용으로부터 친수성으로 폴리머 매트릭스의 변경을 일으키며, 이에의해서 상기 노출된 영역의 용융 속도를 증가시키도록 한다. 노출-후 베이크 온도의 증가에 의해 노출 민감도가 증가하며, 그러나 동시에 이는 노출 범위의 손실이 동반되는 것이다. 라인 폭 크기 조정 그리고 처리 범위의 질 저하는 노출 영역 가장자리에서 acidolysis 반응 효율 그리고 온도 증가에서의 산 확산 증가와 관계가 있다.
상기 산 확산 현상은 라인 엔드 쇼트닝(line end shortening (LES)) 그리고 라인 에지 라프니스(line edge roughness (LER))중 하나 일 수 있다. 상기 광선에 의해 발생된 산의 세기 및 크기는 산 이동도 이탈 따라서 영상 충실도에 매우 중요하다. 상기 레지스트 형성에서 컴포넌트 각각의 튜닝은 바람직한 리소그래피 실행을 얻기 위해 중요하다.
마스크 제작 조건은 웨이퍼 처리와는 다소 상이하며, 마스크를 위한 적절한 DUV 레지스트 후보 물질의 디자인을 만드는 것은 더욱 도전적이다. 이제까지는 우수한 안정도로 인한 낮은-활성 에너지, 아세탈-블록 폴리머에 초점이 맞추어 졌다. 그러나 낮은 활성 에너지(ow-Ea) 레지스트의 경우, 상기 노출 사건중에 디블록킹 반응과 동시에 형성된 산의 측면 확산이 있을 수 있다. 짧은 웨이퍼 노출 시간(대략 수분)과 비교하여 이들 두 영향과 포토 마스크에 대한 상대적으로 긴 노출 시간은 큰 선폭 변경을 일으 킬 수 있다. 상기 긴 노출 시간은 또한 아민 오염의 위험을 증가시킨다.
또한, 상기 포스트 노출 베이크(PEB)는 추가의 확산 복잡도를 추가시킨다. 상기 상대적으로 두꺼운 수정 기판은 얇은 실리콘 웨이퍼에서보다 상기 수정 기판의 훨신 높은 열용량 그리고 훨씬 낮은 열 전도도로 인하여 상기 열 제어를 더욱 복잡하게 한다.
상기 마스크 산업은 결함, 처리 복잡도 그리고 생산 비용등의 이유로 저부 또는 상부 안티-반사 코팅(기판 오염 및 반사도 그리고 공중 오염의 영향을 최소로 하기 위해 웨이퍼 산업에서 사용)을 사용하지 않고 상당한 선폭 조정을 가능하게 하는 레지스터로부터 이익을 받는다.
상기 레지스터는 또한 상당한 포스트 코팅 지연 그리고 포토 스피드 안정도 특성을 가지며, 모든 다른 일반 요구조건들을 유지시켜야 하는 데, 안정도 요구조건의 이 같은 컴비네이션은 상기 레지스트 제조업자에 대한 도전이었다. 마스크 제조 조건에 적합한 레지스트를 디자인하는 데 각기 다른 문제점 몇 가지가 테이블 1에서 도시된다. 실리콘 웨이퍼 산업에 목적을 두는 오늘날의 상업적 레지스트 대부분은 저부 안티 저항 코팅(BARCs) 과 함께 사용하도록 디자인되며 마스크 생산에서 발견되는 것보다 훨씬 짧은 타임 프레임동안 안정하여야 한다. 상기 웨이퍼 산업에서 집단으로 되며 환경적으로 조정된 처리 장치의 사용은 이 같은 디자인 접근을 용이하게 하였다.
포토 마스크 처리에서 화학적으로 증폭된 레지스트의 집적은 산성의 중화에 의해 발생되는 잠복성의 영상 퇴화로 인해 간단한 문제가 아니다.
포토 발생 또는 촉매성 산성의 중화는 [2] 레지스트-에어 인터페이스 공중의 베이스 오염으로인하며, 또는 레지스트-기판 인터페이스에서의 베이스 오염에 의하여, 결국 T-토핑 및 풋팅(T-topping and footing)을 만들고, 뿐만 아니라 비-노출 영역내로 산 확산에 의한다. 따라서 CARs는 두 면사에에 끼이는 그리고 표면 현상을 일으키기 쉽다.
상기 영상 얼룩에 영향을 미치는 인자들은 산 확산, 재생 산의 촉매작용 길이, 포토 저항 폴리머의 구성, 첨가제, 온도 그리고 시간[3-8]이다. 확산 현상은 최종 해상도에 영향을 미칠 뿐 아니라, 유사-초점 바이어스 및 근접 영향에 영향을 미친다.
DUV 레이저 패턴 발생기 생산 시기에 이용될 수 있었던 상업적 레지스터의 각기 다른 타입가운데에는, Clariant Corporation으로부터의 낮은 활성 에너지 레지스트 AZ DX 1100P (하기에서는 DX 1100P라 함)가 아민 오염에 월등한 안정도 그리고 산 확산 장벽 특성 그리고 상당한 포토 스피드 수명(프리 코팅 마스크 블랭크는 9개월이상 지속되어야 한다)등으로 인해 적절한 후보 물질인 것으로 인정되었다. 24시간 이상의 FED 안정도가 [9, 10]에서 보고되었다. 그러나 DX 1100P는 충분하지 않은 PED 안정도 [12]외에도, 심각한 고정파 및 풋팅 특성[11]을 보였다. Micronic Laser Systems으로부터의 Sigma7300 레이저 패턴의 경우에는, FEP-171 (Fujifilm Arch) 레지스트로의 스위치가 상기 전체 상황을 크게 개선시키었다[13].
마스크 생산에서 DW 레지스트에 대한 몇가지 디자인 문제
특성 성능 코멘트
강항 PAG 양호한 PEB 감지도양호한 기판 적합성 열악한 T-토핑
이동 PAG (작음) 양호한 기판 적합성 열악한 T-토핑열악한 선폭 슬림밍
PAG 타입열 안정도용융가능 포토 프로덕트 양호한 포토 스피드 수명양호한 결함 조정onium 염 타입
소수성폴리머 양호한 불 수용성/양호한 수명기판 적합성 어두운 필드에서열악한 결함
낮은 활성 에너지 양호한 T-토핑, PEB 민감도 열악한 수명 가능
이동 베이스 보다 나은 기판 적합성 가능보다 나은 CD 조정 가능수명 문제 가능
2. SIGMA7300 SYSTEM 의 기록 전략
Sigma7300의 시스템 원리가 도 2에서 도시된다. 상기 시스템은 마스크의 노출을 위한 공간 라이트 모듈레이터(SLM)를 사용한다. 도 2에서 패턴 발생기가 개별적인 그리고 멀티-값 픽셀 어드레싱을 갖는 SLM(201), 광원(202), 광선 스크램블링 또는 균질화 장치(203), 광선 스플리터(209), 렌즈(Ll 및 L2) 그리고 구멍(208)(푸리에 필터)을 포함하는 영상 광학 시스템(204), 간섭계 위치 조정 시스템(206)을 갖는 파인 위치정함 기판 스테이지(205), 그리고 상기 SLM을 위한 하드웨어 및 소프트 웨어 처리 시스템(207)으로 구성된다.
적절한 기능성 그리고 용이한 동작을 위해, 온도 조정을 갖는 둘러싸는 대기 챔버, 기판 적재 시스템, 최적 패턴 위치 정함 정밀함을 달성시키기 위한 스테이지 이동 타이밍 및 노출 레이저 트리거링을 위한 소프트웨어, 그리고 소프트웨어 사용자 인터페이스를 포함한다. 패턴 데이터는 SLM 칩내로 래스터되고 적재되며, 반사 컴퓨터-조정 레티클로서 작용한다. 이는 엑시머 레이저 플레쉬를 반사하며 상기 패턴의 영상은 상기 마스크 블랭크로 포커스된다. 상기 마스크 블랭크를 갖는 스테이지는 계속하여 이동하며, 상기 간섭계가 다음 필드를 위한 위치에 도달하는 때 상기 레이저가 플레쉬하도록 명령한다. 약 20ns의 짧은 플레쉬 시간 때문에, 상기 스테이지의 이동은 고정되며 SLM의 샤프 영상이 상기 레지스트에서 발생된다. 상기 SLM은 다음 플레쉬을 위해 시간이 경과하면 새로운 패턴으로 재적재된다.
마지막으로, 상기 패턴을 상기 SLM내로 공급하기 위해, 병렬 및 눈금조정가능 데이터 패쓰 디자인이 최신 IC 디자인의 복잡한 디자인 데이터를 처리한다[14].
4-패쓰 기록 전략이 사용되어 목적을 달성시키도록 하며 최소 선폭을 달성하고 그리고 상기 마스크에서의 변경을 위치 정함하는 데 최적합하게 된다. 패쓰 각각이 상기 기판에서 같은 방향으로 기록되며, 이전의 패쓰와 관련하여 오프셋을 갖도록 된다. 상기 패턴을 만드는 필드는 한 오버랩을 사용하여 함께 스티치되며 상기 스티치 경계에서 선폭 변경을 최소가 되도록 한다. 상기 규칙적 기록 방법 그리고 이 같은 검사에서 사용된 다른 선택적 방법이 도 3에서 도시된다.
도 3a는 규칙적인 기록 모드를 설명한다. 도 3b는 리버싱 기록 모드를, 그리고 도 3c는 사이에 끼인 기록 모드를 설명한다. 상기 도면 각각은 스테이지에서의 마크(301), 스트라입(302), 필드(303), 그리고 스트라입 프린팅 방향을 도시한다. 상기 스텝 방향은 각각 (311, 312, 및 313)이다. 규칙적 기록 전략(3a)에서, 필드의 첫 번째 패쓰가 스트라입(302)에서 프린트되며 다음에 필드의 다음 스트립이 작은 스테이지 이동(311)이 있은 후에 노출된다. 상기 전체 마스크 블랭크를 프린트한뒤에, 상기 스테이지가 최초 위치로 되돌아 가며 두 번째 패쓰가 상기 첫 번째와 같은 방법으로 노출된다. 이와 같은 과정이 세 번째 그리고 네 번째 패쓰를 위해 반복된다. 리버스 모드에서, 상기 노출이 이제 두 번째와 네 번째 패쓰가 리버스 방향(312)으로 노출된다는 것을 제외하고는 규칙적 모드에 대한 것과 같은 방법으로 발생된다. 상기 사이에 끼인 모드에서, 어떠한 스테이지 이동(313)이 일어나기 전에 모든 네 개의 패쓰가 직접 서로 노출된다. 따라서 상기 Sigma7300가 기록 전략과 관련하여 각기 다른 선택을 제공한다. 또한 상기 Sigma7300의 데이터 패쓰는 어떠한 장래의 기록 방법도 처리하도록 유연하게 디자인된다.
방해 영향을 평가하도록 사용될 수 있는 한 가지 장치가 Sigma 7300와 같은 SLM 기록기이다. 상기 Sigma 7300의 기록 그리드 레이아웃이 도 7에 도시된다. 상기 필드 그리드가 패쓰(701,702, 703,704)사이에서 SLM 필드 쿼터로 이동된다. 또한 상기 픽셀 그리드에서의 이동은 대칭 접촉 그리고 라인 엔드를 발생시키도록 만들어진다.
상기 동일한 픽셀 그리드에 4 개의 패쓰를 사용함으로써 원하지 않은 뒤틀린 접촉을 그리고 라인 엔드를 발생시킨다[15]. 도 7은 두 SLM 및 픽셀 퍼스펙티브로부터의 그리드 레이아웃을 도시한다.
도 4는 본 발명에 따른 작업 피이스를 패턴닝하는 실시 예에 대한 흐름도를 설명한다. 첫 번째로, 레지스트, 전자기 감지 코팅 또는 특정 입자에 민감한 코팅이 당업자에게 잘 알려진 통상의 기술에 따라 기판에 적용된다. 둘 째 작용으로 상기 레지스터가 정해진 노출 도스를 갖는 첫째 패쓰에 노출된다. 멀티 패쓰 전략을 사용하여 기판을 패턴닝하는 여러 가지 방법이 있다. 첫 번째 방법은 모든 패쓰로 또다른 부분을 노출시키기 이전에 첫 번째 부분을 서로 바로 뒤에 패턴하는 것이다. 또다른 방법은 완전한 웨이퍼 또는 기판을 상기 첫 번째 패쓰로 먼저 노출시키고, 종료되는 때 다음 패쓰로 시작 위치로부터 모두 다시 시작하는 것이다. 이 같은 과정이 전 웨이퍼가 완전히 노출될 때까지 계속된다. 시작 위치로부터 시작하는 대신, 모든 두 번째 패쓰가 반대 방향으로 노출될 수 있다. 노출되어질 부분을 무작위로 하는 것이 또한 가능하다.
두 번째 노출을 시작하기 전에, 상기 레지스트를 노출시킬 도스(dose)가 증가된다. 상기 도스의 증가는 패턴되어질 레지스트, 그리고 전 노출을 끝낼 패쓰의 수로부터 선택되는 것이 바람직하다. 상기 도스는 모든 패스사이에서 증가되거나 감소될 수 있으며, 이는 노출되어질 모든 패쓰가 이제 막 노출된 패쓰보다 높거나/낮은 노출을 갖을 의미한다. 상기 작업 피이스가 완전히 노출된 후, 상기 작업 피이스는 공지의 기술에 따라 디벨로프된다.
상기 패턴 발생기를 동작시키는 오퍼레이터는 상기 기판을 노출하도록 사용되며, 패쓰들 사이에서 상기 도스의 증가를 수작업으로 정할 수 있도록 한다. 상기 도스의 증가는 또한 어떠한 종류의 레지스트가 노출될 것인지 그리고 오퍼레이터가 몇 개의 패쓰를 사용할 것인지에 대한 정보를 받은 후에 머신에 의해 자동으로 선택되고 저장될 수 있기도 하다. 상기 오퍼레이터가 각기 다른 패쓰에 대한 자동으로 선택된 노출 도스에 만족하지 않는 때에는 이들중 하나 또는 다수를 변경할 수도 있다.
한 실시 예에 따른 패쓰 각각에 대한 실제 도스는 상기 최소 선폭 균일 도스는 각기 다른 레지스트 또는 감광유제에 대하여 상이하다. 상기 최소 선폭 균일을 최적합하게 하기 위한 멀티-패쓰 기록 전략에서 기록 패쓰 각각에 대한 최적 도스를 결정하는 한 가지 방법은 다음과 같을 수 있다.
도 5에서, 4 개의 라인은 4 개의 기록 패쓰를 사용하여 노출된다. 최신 4 패쓰 기록 전략에서, 패쓰 각각에는 같은 도스, 즉 노출한계의 25%가 제공된다. 상기 레지스트의 노출은 누적된 영향이며, 이는 단일 기록 패쓰에서 전 도스를 적용시키거나 아니면 다수의 기록 패쓰가운데에서 상기 도스를 나누는 것으로 선택할 수 있다. 멀티-패쓰 기록은 상기 전략이 하나 또는 다수의 기록 패쓰로 결함을 균등하게 하기 때문에 바람직하다. 특정 레지스터의 경우, 상기 노출은 일정한 도스가 상기 레지스트로 충돌하여 지는 때 발생된다. 상기 노출 한계이하에서는 어떠한 노출도 발생되지 않는다. 노출되지 않은 부분으로부터 노출된 부분으로의 전이는 상대적으로 샤프하며 잘 정의 된다.
패쓰 각각의 영향을 결정하는 한 가지 방법은 패쓰 각각에서의 방해를 안내하는 것이며 상기 방해가 상기 CD에 어떻게 영향을 미치는가를 보여주는 것이다. 제 1 기록 패쓰에서, 상기 방해는 제 1 라인(305)으로 할당된다. 상기 방해는 도스에서의 변경 또는 상기 라인 크기에서의 변경일 수 있다. 상기 방해가 가령 2%의 변경과 같은 작은 도스 변경이라 하자. 상기 제 1 기록 패쓰에서, 상기 제 1 라인은 노출 한계의 27%로 노출되며, 제 2 라인(510), 제 3 라인(5150, 그리고 제 4 라인(520)은 상기 노출 한계의 25%로 노출된다. 제 2 기록 패쓰에서, 상기 방해는 제 3 라인(515)으로 할당되며, 제 4 기록 패쓰에서 상기 방해가 제 4 라인(520)으로 할당된다.
상기 제 4 라인의 제 4 노출을 완성하는 때, 한 라인이 기록 패쓰 각각에서 외곡되며, CD 측정이 상기 라인에 대하여 수행된다. 도 6은 노출이 있은후 상기 4개의 라인을 설명한다. 상기 라인들의 폭은 설명의 목적을 위해 다소 과장된 것이다. 상기 도면에서, 제 1 라인(605)은 제 2 라인(610)에서 보다 높은 CD를 가지며, 제 2 라인(610)은 제 3 라인(615)보다 높은 CD를 갖고, 제 3 라인(615)은 제 4 라인(620)보다 높은 CD를 가짐이 명백하다. 이는 상기 기록 도스가 기록 패쓰 각각에 대하여 동일한 것으로 가정되는 때 나중의 기록 패쓰에서보다 앞선 기록 패쓰에서 방해가 패턴에 더욱 큰 영향을 미침을 설명한다.
특정 기록 도스를 갖는 앞선 기록 패쓰는 갖는 도스를 갖는 나중의 기록 패쓰에서 보다 레지스트에 보다 많은 영향을 미친다는 것이 실험적으로 밝혀졌다. 제 1 기록 패쓰에서의 방해는 제 2 또는 그 뒤의 기록 패쓰에서 보다 더욱 큰 영향을 미친다.
각기 다른 패쓰가 서로 겹쳐지는 멀티 패쓰 기록 전략에서, 상기 영향은 규칙적인 패턴이 밝혀진다는 점에서 명백해 질수 있다. 상기 규칙적인 패턴은 서브 팬턴이 겹쳐지는 제 1 기록 패쓰내 영역에 해당된다. 동일한 도스를 갖는 나중의 기록 패쓰가 앞선 기록 패쓰가 상기 레지스트에 영향을 미치는 것보다 덜 영향을 미치는 것이 명백하다.
CD 균일함은 어느 기록 패쓰에서 특정 패턴이 인접하는 가에 달려있다. 마이크로 미러 장치(SLM)를 사용하여 완전한 패턴을 만들고 상기 패턴이 상기 기판위에 프린트되도록 하는 때, 다수의 대상 물체 픽셀중 여러개의 스탬프가 기록 패쓰 각각에서 함께 스티치된다. 상기 스탬프는 접하는 영역에서 부분적으로 오버랩된다. 기록 패쓰 각각에서 같은 도스를 사용한다면, 한 특징 패턴이 상기 제 1 기록 패쓰로부터 인접하는 영역을 대표하여 출현할 수 있다.
3. 포토레지스트 화학 작용
3.1 DX IIOOP 포토레지스트 화학 작용
DX 1100P는 Clariant Corporation 의 제 1 세대 248nm DUV 레지스트이며, 낮은-반사 기판용이었다. DX 1100P (Mw 10.000) 는 (폴리) 4-하이드록시스티렌(hydroxystyrene) (PHS)으로 구성되고, 폴리글리콜 메틸에테르 아세테이트(PGMEA)에 용해되고, 아세탈-베이스 보호 그룹을 갖는 중추 물질(휘발성이 적고, 단순한 아세탈보다는 더욱 큰 비닐에테르)이며, 포토산 발생기(PAG)로서 트리페닐술폰니엄 트리플라이트(비등점= 162C), 그리고 포토분해가능 베이스 [9,10] (PDB)로서 트리페닐술폰니엄 수산화물로 구성된다.
상기와 같이 대체함으로써 높은 정도의 소수성(보호 정도 30%)을 가져온다. 상기 추가된 베이스는 폴리머 체인에 고정되며 상기 베이스에 의해 유도된 확산 영향이 무시될 수 있을 정도가 되도록 한다. 노출 중에 PGA가 상기 보호 아세탈 그룹으 분해를 촉매하는 강한 유기 술폰산, 트리플루오로 메탄 술폰산 (CF3S03H)을 발생시킨다. 모든 폴리-아세탈에서 처럼, 상기 작용이 진행되기 위해 디프로텍션에는 1당량의 물을 필요로한다.
PAG는 방사광선에 대한 중간-강함 그리고 작고 빠른 확산 산 종류를 형성시킨다. 상기 작은 크기는 높은 확산 계수에 이르도록 한다. 상기 산의 세기(pKa)는 아세탈-보호 그룹 염기도 그리고 바람직한 PEB 온도에 맞도록 된다. 상기 CF3SO3H (a. k. a. triflic acid)에 대한 산의 세기(pKa)는 >-12 [16]인 것으로 보고되었다. 상기 산 촉매에 의해 조정된, 레지스트의 뒤이은 열분해는 상기 보호 그룹을 분해한다. 상기 디프로텍션 반응은 도 7에서 개략적으로 도시된다.
낮은 할성 에너지(Ea) 레지스트이기 때문에, Ea < 20kcal/mol, 상기 디프로텍션의 주요 부분은 노출중에 실온에서 발생된다. 노출이 있게되면, 상기 PDB는 디페닐 황화물 그리고 높은 반응 페닐 라디칼로 분해되며, 폴리머 페놀로 다시 결합된다. 베이스(염기)를 추가시키는 주요 이유는 상기 노출된 부분들로부터 노출되지 않은 부분으로 산 분자 확산시키는 것이 상기 포토 분해가능 베이스에 의해 트랩되고 중화되기 때문이다.
도 8은 DX 1100P 화학 분석에 대한 디프로텍션 반응을 개략적으로 도시한 도면이다.
3.2 FEP -171 포토레지스트 화학 분석
Fujifilm Arch로부터의 FEP-171는 e-비임과 광학적 응용 모두에 사용될 수 있는 레지스트이다. DX 1100P 다음 장치에 대한 연구에서 FEP-171 에 대한 초점이 맞추어졌는데, 이는 레지스트 프로파일 그리고 환경적 안정도 그리고 상업적 이용 가능과 관련하여, 프리코팅 마스크 블랭크로 산업을 지원하는 Hoya Mask Blank Division에 의한 마스크 분야 사회에 이용될 수 있다.
FEP-171의 화학 분석은 설명되지 않는다. 다만 FEP-171은 염기도, 분자 크기 그리고 기능을 조정하기 위한 첨가제을 갖는 두-컴포넌트 PHS 베이스 레지스트이다. 아민 첨가제는 산성 이동도를 제한하며 또한 PCD 및 PED 안정도 그리고 수명을 개선하도록 사용된다.
사용된 용제는 PGMEA/PGME 혼합물이다. 크기가 큰 블록킹 그룹의 사용(도 9) 그리고 특수한 부류의 포토-산 발생기의 사용은 상기 프로파일, 해상도 그리고 용융 대비를 단순한 아세탈-형식화 레지스트와 비교하여 개선시킨다. PAG 자체는 충분히 크며 강하고 그리고 포토분석중에 느린-확산 산을 발생시킨다. FEP-171의 경우에 상기 폴리머 디블록킹 작용은 노출중이 아닌 PEB 단계에서 대개 발생되며, 이 것이 단순한 아세탈-형식화 레지스트로부터의 차이를 만든다.
그럼에도 불구하고, 상기 크기가 큰 아세탈 폴리머는 디블록킹 반응이 진행되기 위하여 작은 열에너지 도움만을 필요로 한다. 따라서 상기 레지스트는 높은-활성 에너지 블록킹 화학 분석을 위한 경우, 상기 가열에 의한 촉매적 산의 지나친 확산으로 인하여 문제를 받지 않는다. 폴리머의 이 같은 고유한 디자인으로 FEP-171 가 대기압에서 그리고 진공중에서도 양호한 PED 안정도를 나타내며, 뿐만아니라 PEB 온도에도 매우 적은 민감도를 나타낸다.
4. 실험적 조건 그리고 방법
4.1 처리 그리고 리소그래피 평가
상업적으로 코팅된 AR8 크롬 마스크 블랭크가 사용되었다. 상기 블랭크는 DX 1100P 또는 FEP-171 포토 레지스트 어느 하나로 코팅되었다. 상기 마스크는 존 컨트롤 고온 플레이트 그리고 Extraction Systems의 아민 필터가 장치된 STEAG Hamatech APB5000 고온 플레이트에서 베이크 되었다.
상기 베이크 온도는 DX 1100P 의 경우 70℃ 이고, FEP-171의 경우 120C 이었으며, 안정 상태 시간은 두 경우 모두 60s 이었다. 상기 플레이트에서 상기 온도 변동은 상승시에 1℃보다 작았으며 안정한 상태에서 0.2℃ 보다 작았다. 상기 마스크들은 다음 60s동안 컴바인된 스프레이-푸들 디벨로프를 사용하여, AZ726MIF (2.38wt% 테트라 메틸 암모늄 하이드록시드, TMAH) 표면활성 디벨로퍼(Clariant Corporation)를 이용하는 STEAG Hamatch ASP5000 시스템에서 디벨로프되었다. 상기 패턴 마스크 블랭크를 측정하기 위해 사용된 도량형학 시스템은 KLA8250R top-down CD-SEM이었다.
상기 레지스트 프로파일 그리고 레지스트 용융에서의 공중 오염 가능한 영향을 최소로 하기 위해, 상기 처리 단계사이의 간격이 일정하게 유지되었고 가능한 한 짧게 유지되었다. 상기 노출 그리고 포스트-노출 베이크사이 그리고 포스트-노출 베이크 그리고 디벨로프먼트 사이 지연 시간은 각각 5분이하 이었다.
전체 아민 농도는 클린 룸에서 8 과 12ppb 사이이었다. 노출후에, 상기 마스크 블랭크가 상기 노출 챔버내 SMIF 박스내로 적재되었으며 그 다음 고온 플레이트로 수작업으로 운반되었다. 상기 노출 장치에는 Extraction Systems로부터의 아민 필터가 장치되었으며, 전체 분자 베이스 농도는 대기 챔버내에서 lppb이하 이었다.
4.2 선폭 에러 소스
노출 장치 및 처리 장치 모두는 이 같은 검사에서 잠정적 CD 에러 소스이다. 이들 특정 장치 특징에 대한 지식을 사용하는 것은 찾고자 하는 파라미터에 대한 이들의 영향을 없애거나 적어도 최소화할 수 있도록 한다.
따라서 다수의 단계가 택해져서 상기 노출 시스템 그리고 처리 장치로부터의 에러 기여를 최소로 하도록 한다. 상기 설명으로부터 분명한 것은 전체 처리 기여, 즉 상기 디벨로퍼 처리 회전 특징으로부터 발생되는 레이디얼 선폭 변동이다. 기준 구조를 갖는 로컬 패턴을 사용함으로써, 상기 에러 소스로부터의 상기 기여를 최소로 할 수 있다. 정해진 회전 특성에 대한 전형적인 선폭 범위는 전 6-인치 마스크에서 5nm 이하이다. 상기 디벨로퍼 처리에서 비-대칭 에러가 남는다. 이들은 평균 반복 측정 셀들에의해 처리된다.
상기 실험은 셀 각각에서 상대적인 측정에 기초하며 셀(1001) 수 ㎟이하이다. 이 같은 에러는 1nm 이하인 것으로 평가되는 데, 이는 상기 셀(1001)이 도 10에서의 해치 패턴에 의해 표시된 CD 영역사이 처리 변경 특성 길이 스케일과 상관하여 상대적으로 작다.
상기 노출 장치는 보다 철저한 설명을 필요로 한다. 실험 장치 설치로부터 알수 있는 바와 같이, 선폭에서 한 패쓰내 방해 영향은 방해되지 않은 라인 선폭과 관련이 된다. 상기 패쓰들 사이 오프셋없이 노출시킴으로써, 상기 SLM으로부터의 기여는, 상기 SLM에서의 동일한 영역이 패쓰 각각에 대하여 사용되기 때문에 삭제된다. 이에의해 패쓰 각각은 정확히 같은 SLM 조건하에 노출된다.
필드들 사이 선폭 반복성은 상기 측정 장치의 반복성보다 적다(동적 반복성은 2nm). 모든 측정 사이트는 가능한 에러 소스를 제거하기 위해 필드들 사이 스티칭 영역을 피한다.
선폭은 패쓰들사이 오버레이에 대하여 민감하지 않다. 도 11에서 도시된 바와 같이, 사이 오버레이 에러(1101)는 상기 측정 장치의 반복성보다 상기 선폭이 더욱 심각하게 영향을 받도록 하기 위해 40nm이상일 필요가 있다. 패쓰들 사이 상기 사용된 노출 장치 오버레이 에러는 15nm(3σ) 이하 이다(36).
도스 변경은 PEB 이전에 따라서 보다 높은 정도의 폴리머 디프로텍션이전에 더욱 많은 포토 발생 산을 만들도록 한다. 상기 레이저의 펄스-펄스 안정도는 상기 노출 장치/레지스트 시스템 컴비네이션 도스 민감도를 통해 선폭에 영향을 미친다. 이 같은 검사 노출 도스에서, 상기 민감도는 DX 1100P 그리고 FEP-171 레지스트 모두에서 1. 5nm/% 도스 변경이며, 상기 레이저에 대한 도스 안정도는 1 % (표준 이탈)이하이다. 이와 같이 함으로써 두 경우 모두에서 1. 5nm 의 레이저 변동 유도 선폭 변경을 만들도록 한다.
상기 노출 장치에 대한 초점 안정도는 세트 포인트 에러를 포함하여 50nm (3σ) 이상인 것이 바람직하다. 초점은 선폭, 초점 그리고 노출(Bossung plot)사이 관계를 통하여 달성된 선폭에 영향을 미친다. 상기 노출이 같은 초점 도스 가까이에서 수행되는 때 선폭 그리고 초점사이 관계는 플랫이다. 상기 초점 변동으로부터 발생되는 선폭 에러의 평가는 2nm이하이다.
패쓰들 사이의 시간 지연은 달성된 선폭에 역시 영향을 미친다. 광선의 회절 또는 분산으로 인한 비-노출 영역내 광발생 산(photogenerated acid)은 무시될 수 있다. DX 1100P의 경우 패쓰들 사이 시간 지연의 영향으로 인해, 상기 지연 시간이 수초로 일정하게 유지된다.
이 같은 에러는 상기 패쓰들 사이 시간의 함수로서 CD 기하 급수적 동작을 가정할 때 0. 5nm 인 것으로 평가된다.
PEB동안 온도 변동은 선폭 변동을 만든다. 그러나 PEB 온도 증가는 포토 분석으로부터 발생된 산의 양을 변경시키지 않으며, 상기 추가된 에너지는 상기 산 이동도를 증가시키고 이에의해 디프로텍션의 레벨을 증가시킨다. FEP-171 에서의 측정은 0. 3nm/℃의 온도 민감도를 나타내며 DX 1100P에 대하여 lnm/℃ 이하의 문헌 리포트를 나타낸다. 상기 사용된 베이크 시스템에서 온도 균일 명세서는 안정한 상태에서 0.2℃ 이며 상승중에 1 C이고, 상기 베이크 시스템에 의한 lnm 이하의 에러를 발생시킨다.
모든 에러 소스는 서로 독립적이며 따라서 직교좌표에서 추가될 수 있다. 모든 알려진 에러 소스로부터 상기 결과의 전체 에러는 다음과 같다:
상기 식에서
· CD펄스-펄스 = 레이저 펄스-펄스 변경에 의해 유도된 전체 CD 에러.
· CD오버레이 = 오버레이 CD 초점에 의해 유도된 전체 CD 에러
· CD 초점 = 초점 변경으로 인한 전체 CD 에러.
· CD 시간 지연 = 노출 사건 그리고 PEB 사이 시간 지연 영향에 의한 전체 CD 에러 CDPEB = PEB 동안 온도 변경에 의한 전체 CD 에러.
· CD디벨로프 = 디벨로프 처리에 의한 전체 CD 에러
전체 에러 # (에러 전체)(실제 숫자를 삽입)>>3.1nm
4. 3 프린트 모드의 영향
노출 패쓰의 영향 그리고 각 시도 사이의 시간 지연을 연구하기 위해, 4-패쓰 프린팅에 대한 각기 다른 변경이 레지스트 DX 1100P을 사용하여 검사되었다. 단일 패쓰 노출이 또한 기준으로 사용되었다. 4-패쓰 인쇄에서, 전체 공칭 도스의 단일 패쓰가 패쓰 각각에서 사용되었다. 전체 마스크 블랭크의 인쇄가 각 노출사이의 시간 지연을 조정하기 위해 시도에 대한 타임 기법을 채택함으로써 모의 되었다. 노출은 도 12의 (1210)에서 1-5로서 도시된 상기 플레이트상의 5 개 위치에서 만 실행되었다. 상기 5 개 위치에서 기록들 사이 시간 지연은 15분이었으며, 상기 전체 플레이트 공칭 특징 크기는 2 mm이고, 선명한 크로스가 SEM에서 측정되어 모든 경우에 대하여 선폭 변경을 결정하도록 하였다.
이전의 시도에서, 최소 선폭에서의 변경과 적용된 도스사이에서 관찰되었다. 상기 관계는 ΔCD=k*Δ 로서 표시 될 수 있으며, CD는 nm으로, k는 상수로 그리고 도스는 노출 임계의 퍼센트로 표시될 수 있다. 상기 상수 k 는 동일 초점 도스이며 Clariant DX1100의 경우 약 1.5이다.
CD 에서의 변경 그리고 도스에서의 변경사이 관계가 정해진 때, 상기 CD 측정으로부터 광학적 도스 분산을 예측함이 가능하다. 상기 CD 균일도를 가장 적합하게 하기 위해, 각 패쓰가 상기 레지스트에 균등하게 영향을 미치도록 할 것을 원하며, 즉 어느 한 기록 패쓰에서의 방해는 동일한 영향을 미치도록 해야 한다.
DX 1100의 경우, 4개의 패쓰 기록 전략을 사용하는 때 최적 균일도(균등)를 제공하는 도스 분산은 제 1 기록 패쓰에서 20%, 제 2 기록 패쓰에서 23,3%, 제 3 기록 패쓰에서 26,7% 그리고 제 4 기록 패쓰에서 30% 이다.
도 12에서 알 수 있는 바와 같이, 상기 라인 폭에 대한 가장 극적인 영향은 인쇄 모드(1224)를 사용하는 때 발생된다. 상기 플레이트에서의 노출 위치(1, 5)는 서로 거의 이어 발생되는 두 번의 시도를 수신하였으며, 리버스 인쇄 모드에서 상기 선폭이 이들 사이트에서 크게 증가하게 하였다.
상기 도면에서 도시된 바와 같이, 4 개의 패쓰 인터레이스 모드(1222)는 결국 단일 패쓰 모드보다 넓은 트랜치 크기를 만들도록 한다. 반면에, 상기 규칙적 인쇄 모드는 단일 패쓰 모드(1221)에서 보다 작은 선폭을 만들도록 한다.
따라서, 기록 전략에 따라, 각기 다른 공칭 선폭이 DX1100P을 사용하는 때 얻어질 것이다. 그러나 상기 데이터에서 오프셋을 적용함으로써 이 같은 영향이 리버스 인쇄 모드(1224)를 제외하고 모든 모드에서 제거될 수 있다. 상기에서 언급된 바와 같이 또다른 실험에서, 상기 제 1 노출 패쓰가 DX1100P을 사용하는 때 최종 선폭에 대하여 주요한 영향을 미쳤다. 이와 같은 사실로 인해 균등한 도스의 4-패쓰 방법을 사용하지 않고 점진적인 도스 방법으로 실험을 실행하였다. 상기 점진적 도스 개념의 최적 처리중에, DX1100P - FEP-171 화학 분석으로 부터의 스위치가 상기 이전 물질에서의 과도한 레지스트 풋팅 그리고 스탠딩 파(excessive resist footing and standing waves)로 인해 만들어 졌다.
4.4 도스 방법의 영향
인쇄 모드의 영향외에, 패쓰 각각에서 적용된 도스의 영향이 또한 검사되었다. 점진적인 도스 방법이 검사되어, 상기 플레이트에서 보다 나은 선폭 균일도를 얻도록 하였다. 두 개의 접근 방법이 적용되었으며, 도스(노출 요인) 증가로 구성된 한 패쓰에서의 방해에 의하거나 또는 상기 디자인된 선폭 데이터를 바이어싱함으로써 적용되었다.
모든 6개의 컴비네이션이 4번 기록되었으며, 첫 번째는 제 1 패쓰에서의 방해, 두 번째는 제 2 패쓰에서의 방해, 등등, 도 13에서 도시된 바와 같이 전체 24개의 컴비네이션을 발생시켰다. 상기 그래프는 6개의 방법을 도시하며 노출에 대하여 그리고 전체 노출 퍼센트에 대하여 모두 패쓰 도스 요인에 대하여 도시한다. 총 1000 개의 도스 유닛은 단일 패쓰 인쇄 노출에 해당된다. 상기 넓은 바아 위의 얇은 바아는 상기 결함이 의도적으로 안내되었을 때 플러스 50개 노출 요인 유닛의 도스 방해를 나타낸다.
도 14에 의해 도시된 첫 번째 실험에서, 상기 의도된 방해는 50 개의 노출 요인 유닛이며, 이는 도 13에서 에러 바아에 의해 표신된 도스 변동 5%에 해당하는 것이다. 상기 특징 크기는 통상 320 nm이다. 상기 사용된 레지스트는 FEP-171이었다. 상기 결과의 라인 폭(수직 축에 의해 표시됨)은 상기 수평 축에 의해 표시된 패쓰 번호로 도스 방해 적용에 대한 그래프이다.
선폭은 측정된 특징이 선명한 특징이기 때문에 공칭보다 좁다.
25 및 50 퍼센트 (250 및 500 노출 요인 유닛)의 더욱 높은 방해 도스의 영향이 도 15에서 도시된다. 상기 방해 도스 각각에 대하여, 4 개의 기록 패쓰 각각에서의 방해와 함께 그리고 그 같은 방해 없이 시도가 도시된다.  측정이 선명한 특징에 대하여 행하여지며, 선폭은 공칭 320  실행 이상 또는 이하이었다. 도 16에서 도시된 제 2 세트의 시도가 수행되어 투영된 선폭과 관련하여 표시된 네가티브 도스 바이어스 영향을 결정하도록 하였다. 이들 선폭 바이어스는 다양한 기록 패쓰에서 네가티브 방해로서 적용되었다. 상기 적용된 라인 바이어스는  20, -50 및 -100 nm 이었다. 상기 공칭 특징 크기는 400 nm이었다. 측정이 다시 선명한 특징에 대하여 실행되었다. 상기 더욱더 큰 바이어스(1603)가 상기 뒤이은 기록 패쓰보다 상기 제 1 기록 패쓰에서 더욱 큰 영향을 가짐을 나타내었다. 도 17은 1000 mn의 공칭 특징 크기에 같은 네가티브 선폭 바이어스를 적용한다. 다시, 상기 더욱 큰 바이어스(1703)가 상기 뒤이은 기록 패쓰보다 제 1 기록 패쓰에서 더욱 큰 영향을 가진다.
5.토의
포토 산 발생기의 그리고 화학적으로 증폭된 레지스트에서 관련된 산 발생 및 촉매 현상은 리소그래프 성능을 결정하는데 중대한 역할을 한다. 따라서 상기 두 검사된 레지스트의 화학 작용이 설명될 것이며 Sigma7300 레이저 패터 발생기(laser patter generator)의 기록 전략에 미칠 영향을 설명할 것이다. 상기 광 발생 산의 세기는 포토 스피드, 도스-크기, 디프로텍션 효율, 포스트 노출 지연 안정도 그리고 포스트-노출 베이크 민감도와 같은 파라미터에 영향을 미칠 수 있다. 상기 산 사이즈는 이동도, 산 손실, 해상도, 스탠딩 파 그리고 지연 안정도에 영향을 미친다. 일반적으로, 작고, 강한 산은 높은 디프로텍션 효율 그리고 높은 산 확산을 발생시킨다. 산의 확산 그리고 디프로텍션 효율과 관련하여 크고, 약한 산이 그 반대가 된다. 상대적으로 낮은 세기 그리고 작은 크기를 갖는 산은 낮은 디프로텍션 효율 그리고 높은 확산을 가져온다. 다음, 낮은 세기 그리고 큰 크기를 갖는 산은 낮은 디프로텍션 효율 그리고 적은 산 확산을 가져온다. 높은 증기압 그리고 낮은 비등점을 갖는 산은 노출 및/또는 PEB중에 상기 노출된 영역을 쉽게 피할 수 있다.  
상기 로컬 산 농도는 영상에 직접 비례한다. 특징 부 에지에서는 경사진 세기 프로파일이 있으며 따라서 산 기울기 가 형성된다. 옅은 산 경사가 존재하는 상태에서, 확산 구동력은 상기 산 경사가 급한 경우에서 보다 적다. 상기 임계 산 농도 프론트에 앞서서 폴리머 매트릭스와 작용하는 현재의 낮은-농도 산과 상응하는 산사이  경쟁적인 처리가 있을 것이다. 상기 산 이동도는 가스 또는 수지 플라스틱화 반응 부산물에 의해 발생된 폴리머 메트릭스내 증가된 자유 체적으로 인해 증가된다. 상기 가스 프로덕트는 상기 필름으로부터 신속하게 흡수되며 상기 발생된 자유 체적이 영구히 남지 않게 된다.[18]
상기 산 확산 계수 D 그리고 상기 확산 길이 L 은 다음 식을 사용하여 계산될 수 있다.[19]
여기서
s = 이온 전도도
k = 볼츠만 상수
T = 절대 (확산) 온도
[H+] = 산의 양 (농도)
q = 이온 전하
상기 확산 길이, L, 가 다음 식으로부터 계산될 수 있으며:
여기서 t = 베이크 (확산 ) 시간.
상기 산 확산 길이가 보다 높은 PEB 온도 그리고 보다 긴 베이크 시간 증가할 것이 상기 확산 계수 그리고 확산 길이로부터 명백하다. 반면, 보다 높은 도스가 보다 높은 산 농도 그리고 이에의해 감소된 확산 계수 및 이에 따른 보다 짧은 확산 길이를 발생시킨다. 그러나 상기 도스가 증가함에 따라, 상기 이온 전도도가 또한 증가 할 것이며, 이는 다음 상기 확산 계수 방정식에 따라 확산 계수를 증가 시킬 것이다. 따라서 상기 산 확산 길이에 대한 도스의 영향은 간단하지 않다. 또한 상기 산의 이온 전하가 작으면 작을수록 상기 확산 계수는 더욱 크며, 그리고 이에따라 확산 길이는 더욱 클 것이다.  
노출에 대한 상대적으로 작고 강한 산을 형성하는  DX 1100P는 아민으로 완충된다해도  과도한 산 확산으로 손상을 입는다. 반대로, 노출중에 상대적으로 크고 강한 산을 형성하는 아민 완충 FEP-171는 산 확산에 적은 영향을 미친다. 그러나 적절한 기록 전략을 채택함으로써 DX 1100P 그리고 유사한 활성 레지스트의 상기 네가티브 지연 영향이 상쇄될 수 있다. 다음 FEP-171 는 패쓰에 대한 도스의 영향이 추가적이기 때문에 레이저 기록기에 대한 이상적인 동작을 보이며, 노출중에 어떠한 디프로텍션도 발생되지 않고 따라서 어떠한 동시 산 확산 또는 산-촉매 방응도 포스트-노출 베이크 이전에 발생되지 않는다. 이와 같이 함으로써 기록 전략 선택에 대하여 FEP-171 가 민감하지 않도록 한다.
남은 용매 내용이 상기 두 레지스트사이에서 변경되는 가능성이 있기도 하다. 상기 용매는 포토발생 산의 이동도를 향상시킨다 [5]. DX1100P는  FEP-171가 120C로 베이크되는 동안, 70C 로 베이크되며, 따라서 상기 남은 용매 내용은  FEP-171의 경우보다 적다. 이 같은 사실은 상기 산의 이동도를 줄이며 상기 확산 길이를 줄인다. FEP-171에서의 카운터-이온에 대한 정보 부족으로, 어떠한 특정 비교가 상기 두 레지스터사이에서 있을 수 없으며 상기 카운터-이온이 산 확산에 대한 가능한 영향을 파악 할 수 없다.
Sigma7300 레이저 패턴 발생기는 4-패쓰 오프셋 인쇄 전략을 사용한다. 패쓰의 수를 줄임으로써 처리량을 증가시키고 시간 지연 영향과 관련하여 유익하게 된다. 그러나 동시에 상기 CD 균일도 그리고 오버레이/등록 정화성은 악화된다. 따라서 적절한 기록 전략의 선택은 상기 마스크 기록기 최대 리소그래피 성능을 달성시키기 위해 중대하다. 상기 패쓰 그리고 도스 방법의 타이밍 순서 그리고 인쇄 방향을 선택하는 것을 가능하게 하는 내장된 유연성(flexibility built-in)은 산 확산 현상에 의해 발생된 영상이 흐릿해지는 영향을 줄인다. 이 같은 고유한 Sigma7300 레이저 패턴 발생기 특징은 해상도 그리고 CD 균일도에 대한 DUV 레지스트 화학 작용 영향이 크게 줄어들도록 한다.
6. 결론
본원 명세서에서, 두 화학적으로 증폭된 레지스트(DX 1100P and FEP-171) 리소그래피 성능에 대한 Sigma7300 기록 전략에서의 몇 가지 컴포넌트 영향이 설명되었다. 포토 발생 산 그리고 그 확산 특성에 따라, 상기 기록 전략의 선택이 선폭 조정에 매우 중요할 수 있다.  상기 노출 패쓰 사이 타이밍은 DX 1100P 레지스트를 사용하는 때 선폭 변경을 일으키는 것으로 발견되었다. 상기 리버스 기록 모드는 특히 상기 플레이트에서 비교적 큰 선폭 변경으로 인해 DX 1100P 코팅된 플레이트에 프린트하는 때 바람직하지 않았다. 광학적 마스크 생산에서 DUV 화학적으로 증폭된 레지스트와 관련된 초기 처리 안정도 문제는 이제 포토 레지스트 FEP-171의 사용에 의해 Sigma7300 레이저 패턴 발생기에서 크게 줄어들었다. FEP-171는 포함된 폴리머 아키텍쳐, 즉 낮은 확산 PAG 그리고 부피가 큰 블록킹 그룹으로 인해 양호한 성능을 나타내었는데, 이들은 디프로택션이 발생되도록 하기 위해 상대적으로 높은 활성 에너지를 필요로 한다. 사실,  FEP-171 는 적용된 도스 그리고 선폭 방해에 민감하지 않았으며 따라서 특정 기록 전략을 필요로 하지 않았다. 그럼에도 상기 패쓰마다의 도스 그리고 인쇄 방행을 인텔리젼트한 방식으로 조정할 수 있도록 함으로써 상기 Sigma7300 노출 장치가 DUV 레지스트 아키텍쳐와 관련하여 매우 유연하게 한다.
몇가지 특정 실시 예
본 발명은 상기 방법을 실시하는 데 적합한 방법 또는 장치로 실시 될 수 있다. 상기 동일한 방법은 도스 전략을 구성시키고, 기판에 민감한 층을 적용하며, 또는 장치의 구조를 생산함에 관련하여 설명된다. 본 발명은 기록 패쓰에서 도스를 증가시키는 기록 전략을 개발하거나 실시하기 위한 논리로 표현된 매체일 수 있다.
본 발명의 한 실시 예는 멀티 패쓰 기록 전략에서 에너지 비임을 사용하여, 에너지 비임에 민감한 층으로 코팅된 작업 피이스로 특징 패턴의 최소 선폭을 균일하게 증가 시키기 위한 리소그래피 방법이다. 이 같은 방법은 상기 패쓰가 상기 에너지 민감 코팅에 규일하게 영향을 미치도록 하기 위해 기록 패쓰에 대한 개별 도스를 결정함을 포함하며, 이에의해 특징 부 최소 선폭의 균일도를 향상시키도록 한다. 상기 방법은 멀티 패쓰 기록 전략을 사용하여 상기 코팅을 노출시키고, 상기 기록 패쓰에서 상기 개별 도스를 적용시킴을 포함함다. 선택적으로 상기 코팅을 디벨로프함을 더욱 포함한다.
상기 실시 예의 또다른 특징은 공간 광선 모듈레이터를 사용하여 상기 특징을 발생시킴을 포함한다. 상기 실시 예에서, 상기 에너지 비임은 EUV - DUV 범위 펄스 방사선일 수 있다. 모듈레이터 그리고 편향기 장치가 사용될 수 있으며, 상기 특징 부를 발생시키기 위해 방사선 비임 세기를 편향시키고 고정시킬 수 있다. 선택적으로 회절 격자가 사용되어 상기 특징부를 발생시키도록 할 수 있기도 하다.
상기 노출은 둘 또는 그이상의 기록 패쓰로 발생될 수 있다. 제 1 기록 패쓰는 노출 임계 절반 이하인 방사선을 사용하고 제 2 기록 패쓰는 노출 임계 절반 이상을 사용할 수 있다. 선택적으로, 상기 노출은 세 개 또는 그 이상의 기록 패쓰로 발생될 수 있기도 하다. 세 개 또는 그 이상의 패쓰에서, 상기 도스는 패쓰에서 패쓰로 진행됨에 따라 증가될 수 있다. 상기 도스는 선형으로, 지수함수로  또는 대수 함수로 증가된다. 상기 노출은 또한 네 개 또는 그 이상의 기록 패쓰로 발생될 수 있다.
기록 중에, 상기 전체 작업 피이스는 다음 기록 패쓰에 노출되기 전에 제 1 기록 패쓰에 노출될 수 있다 상기 작업 피이스 부분들은 같은 방향 또는 교대 방향으로 노출될 수 있기도 하다.
본 발명 상기 실시 예의 또다른 특징은 상기 마지막 노출 도스가 한 레지스트에서 제 1 노출 패쓰보다 40 - 60% 가 높으며, 다른 한 레지스트에서 45 to 55%가 높을 수 있다. 상기 레지스터 사용은 화학적으로 증폭된 레지스트일 수 있다. 작업 피이스는 마스크 기판 또는 웨이퍼이다.
또다른 특징으로 부터, 본원 발명은 마스크 또는 레티클을 생산하는 방법으로 실시 될 수 있으며, 상기 작업 피이스가 미스크 또는 레티클이며, 상기 작업 피이스를 패턴닝함을 더욱더 포함한다. 상기 패턴닝 단계는 상기 코팅을 디벨로프함을 포함한다. 또는 상기 설명된 실시 예를 사용하여 소자(장치) 구조의 층을 만드는 방법으로 실시 될 수 있기도 하다. 상기 작업 피이스는 웨이퍼 일 수 있으며 상기 방법은 상기 웨이퍼를 패터닝함을 더욱 더 포함한다. 선택적으로 상기 설명된 실시 예를 사용하여 제 2 작업 피이스에 정밀 구조를 만드는 방법으로서 실시 될 수 있기도 하다. 이때 상기 제 1 작업 피이스는 마스크 또는 레티클이다. 이 같은 방법은 제 2 작업 피이스에 방사선 민감 물질 층을 적용시키며, 마스크 또는 레티클을 사용하고 그리고 상기 제 2 작업 피이스에 상기 적용 층을 사용하여 상기 제 2 작업 피이스에 상기 소자(장치) 구조의 층을 패터닝함을 더욱더 포함한다.
* 참고 문헌
1. S. A. MacDonald, N. J. Clecak, H. R. Wendt, C. G. Willson, C. D. Snyder, C. J. Knors, N. B. Deyoe, J. G. Maltabes, J. R. Morrow, A. E. McGuire, and S. J. Holmes, "Airborne Chemical Contamination of a Chemically Amplified Resist", Advances in Resist Technology and Processing XIII, , Proceedings of SPIE, Vol. 1466, pp. 2- 12 (1991).
2. S. A. MacDonald, W. Hinsberg, R. Wendt, N. Clecak, and C. G. Willson, Chem. Mater. , 5, p. 348 (1993).
3. E. A. Dobisz, T. H. Fedynyshyn, D. Ma, L. M. Shirey, and R. Bass, Journal of Vacuum Science and Technology, B16 (6), p. 3773 (1998).
4. P. L. Zhang, A. R. Eckert, C.G. Willson, and S. E. Webber,"Acid Diffusion Through Polymer Films", Advances in Resist Technology and Processing XII, Proceedings of SPIE, Vol. 3049, p. 898 (1997).
5. L. Schlegel, T. Ueno, N. Hayashi, and T. Iwayanagi,"Determination of Acid Diffusion in Chemical Amplification Positive Deep Ultraviolet Resists", Journal of Vacuum Science and Technology, B9 (2), Mar. /Apr., pp. 278-289 (1991).
6. A. R. Pawloski, Christian, P. F. Nealey,"Micromolar Concentrations of Base Quenchers Impact the Apparent Efficiency of Photogeneration in Chemically Amplified Resists, Journal of Vacuum Science and Technology, B20 (5), Sep. /Oct., pp. 2162-2168 (2002).
7. T: H Fedybyshyn, C. R. Szmanda, R. F. Blacksmith, W. E. Houck, and J. C. Root, "Relationship Between Resist Performance and Reaction Order in a Chemically Amplified Resist System, Journal of Vacuum Science and Technology, Bl 1 (6), Nov. /Dec. , pp. 2798-2806 (1993).
8. F. A. Houle, W. D. Hinsberg, M. I. Sanchez, and J. A. Hoffnagle, "Influence of Resist Components on Image Blur in a Patterned Positive-tone Chemically Amplified Photoresist", Journal of Vacuum Science and Technology, B20 (3), May/June, pp. 924-931, (2002).
9. Georg Pawlowski,"Acetal-based DUV Photoresists for Sub-Quarter Micron Lithography", Semiconductor Fabtech, 6th edition, pp. 215-222, (1996).
10. W. Spiess, S. Funato, Y. Kinoshita, Y. Nozaki, and G. Pawlowski: "High Performance Positive DUV Photoresists AZO DX HOOP, AZO DX 1200P, AZO DX 1300P, AZO DX 2034P, AZO DX 2058P for Advanced Lithographic Applications", Microelectronic Engineering, Vol. 41/42, pp. 339-342 (1998).
11. H. Fosshaug, A. Bajramovic, J. Karlsson, K. Xing, A. Rosendahl, A. Dahlberg, C. Bjomberg, M. Bjuggren, and T. Sandstrm,"Resist Process Optimization for a DUV Laser Pattern generator", Proceedings of SPIE, Vol. 5256,23rd Annual BACUS Symposium on Photomask Technology, Monterey, CA, USA, Sept. 9-12,2003.
12. C. Jackson, P. Buck, S. Cohen, V. Garg, C. Howard, R. Kiefer, J. Manfredo, and James Tsou,"DW Laser Lithography for Photomask Fabrication", Proceedings of SPIE, Vol. 5256,23rd Annual BACUS Symposium on Photomask Technology, Monterey, CA, USA, Sept. 9-12,2003.
13. H. Fosshaug, A. Bajramovic, J. Karlsson, K. Xing, A. Rosendahl, A. Dahlberg, C. Bjomberg, T. Sandstrom,"DUV Lithography in Laser Pattern Generator Technology", ARCH Interface'2003.
14. T. Sandstrm, P. Askebjer, J. Sallander, R. Zerne, and A. Karawajczyk "Pattern Generation with SLM", Proceedings of SPIE, Vol. 4562, 21st Annual BACUS Symposium on Photomask Technology, Monterey, CA, USA, Oct. 3-5, pp. 38-44 (2001).
15. T. Sandstrm, and N. Eriksson, "Resolution Extensions in the Sigma7000 Imaging Pattern Generator", Proceedings of SPIE, Vol. 4889, 22nd Annual BACUS Symposium on Photomask Technology, Monterey, CA, USA, Oct. 1-4, pp. 38- 44, (2002).
16. J. March, Advanced Organic Chemistry: Reactions, Mechanism and Structure, 3rd Edition, John Wiley & Sons, 1985, Chapter 8.
17. T. Fujimori, S. Tan, T. Aoai, F. Nishiyama, T. Yamanaka, M. Momota, S. Kanna, Y. Kawabe, M. Yagihara, T. Kokubo, S. Malik, and L. Ferreira,"Structural Design of a New Class of Acetal Polymer for DUV Resists", Advances in Resist Technology and Processing XVII, Proceedings of SPIE, Vol. 3999, pp. 579-590 (2000).
18. L. Pain, C. Gourgon, K. Patterson, B. Scarfogliere, S. Tedesco, G. Fanget, B. Dal'Zotto, M. Ribeiro, T. Kusumoto, M. Suetsugu, and R. Hanawa,"ResistComposition Effects on Ultimate Resolution of Negative Tone Chemically Amplified Resist", Advances in Resist Technology and Processing XVIII, Proceedings of SPIE, Vol. 4345, pp. 251-260 (2001).
19. C. Mack, "Lithographic Effects of Acid Diffusion in Chemically Amplified Resists", OCG Microlithography Seminar: Interface'95, October 29-31, San Diego, California, 1995.

Claims (21)

  1. 에너지 비임 그리고 멀티패쓰 기록 전략을 사용하여 에너지 비임에 민감한 층으로 코팅된 작업 피이스에 패턴된 특징을 갖는 최소 선폭의 균일성을 증가시키기 위한 리소그래피 방법에 있어서,
    기록 패쓰(passes)에 대한 개별 도스를 결정하여 상기 패쓰들이 상기 코팅에 균등하게 영향을 주도록 하며, 이에의해 상기 최소 선폭 특징의 균일성을 향상하도록 하며;
    상기 멀티 패쓰 기록 전략을 사용하여 상기 코팅을 노출시키고, 상기 기록 패쓰내 개별 도스들을 적용시키며, 그리고
    상기 코팅을 디벨로프함을 포함함을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  2. 제 1항에 있어서, 공간 광선 모듈레이터에 의해 상기 특징을 발생시킴을 더욱 포함함을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  3. 제 1항에 있어서, 상기 에너지 비임(광선)이 EUV-DUV 범위내 펄스 방사선임을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  4. 제 1항에 있어서, 상기 방사선 비임 세기를 반사시키고 고정할 수 있는 모듈레이터 그리고 반사기 장치에 의해 상기 특징을 발생시킴을 더욱더 포함함을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  5. 제 1항에 있어서, 한 회절 격자에 의해 상기 특징을 발생시킴을 더욱더 포함함을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  6. 제 1항에 있어서, 상기 방법이 두 노출 패쓰를 포함하며, 이들 중 제 1 노출 패쓰가 한 노출 임계값 절반 이하인 도스를 가지며, 제 2 노출 패쓰는 상기 노출 임계값 절반보다 큰 도스를 가짐을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  7. 제 1항에 있어서, 상기 방법이 세 개의 노출 패스 또는 그 이상을 포함하며, 그 중 상기 도스가 다음 패쓰를 위해 선형으로 증가됨을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  8. 제 1 항에 있어서, 상기 방법이 세 개의 노출 패쓰 또는 그 이상을 포함하며, 이들의 상기 도스는 다음 패쓰에 대하여 기하 급수적으로 증가됨을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  9. 제 1항에 있어서, 상기 방법이 세 개의 노출 패쓰 또는 그 이상을 포함하며, 이들의 상기 도스가 다음 패쓰에 대하여 대수적으로 증가됨을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  10. 제 1-9항 중 어느 한 항에 있어서, 상기 작업 피이스가 다음 노출 패쓰에 노출되기 전에 제 1 노출 패쓰로 패턴됨 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  11. 제 10항에 있어서, 상기 부분이 같은 방향으로 노출됨을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  12. 제 10항에 있어서, 상기 부분이 교대 방향으로 노출됨을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  13. 제 1-12항 중 어는 한항에 있어서, 상기 마지막 노출의 도수가 상기 제 1 노출 보다 40% - 60% 높음을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  14. 제 1-12항 중 어느 한 항에 있어서, 상기 마지막 노출 도스가 상기 제 1 노출 보다 45% - 55% 높음을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  15. 제 1 항에 있어서, 상기 전자기 방사선에 민감한 코팅이 화학적 증폭 레지스트(CAR)임을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  16. 제 1항에 있어서, 상기 작업 피이스가 마스터 기판임을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  17. 제 1항에 있어서, 상기 작업 피이스가 웨이퍼임을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  18. 제 13항에 있어서, 4개의 패쓰가 사용됨을 특징으로 하는 최소 선폭의 균일성 증가 기판 패턴 방법.
  19. 제 1항에 있어서, 상기 작업 피이스가 마스크 또는 레티클이며, 상기 코팅을 디벨로프한 뒤에 상기 작업 피이스를 패턴닝함을 더욱 포함함을 특징으로 하는 마스크 또는 레티클을 만들기 위한 방법.
  20. 제 1항에 있어서, 상기 작업 피이스가 웨이퍼이고, 상기 코팅을 디벨로프한 뒤에 상기 작업 피이스를 패턴닝함을 더욱 포함함을 특징으로 하는 소자(장치) 구조를 갖는 층을 만들기 위한 방법.
  21. 제 1항에 있어서, 제 1항에서의 작업 피이스가 마스크 또는 레티클이고, 상기 마스크 또는 레티클을 사용하여 상기 제 2 작업 피이스상의 방사선 민감 물질 층을 노출함을 포함하며, 그리고 상기 제 2 작업 피이스상의 노출된 층을 사용하여 상기 제 2 작업 피이스에서의 소자(장치) 구조 층을 패턴닝함을 더욱 포함함을 특징으로 하는 제 2 작업 피이스상에 정밀 구조를 만들기 위한 방법.
KR1020067002468A 2003-08-04 2004-08-04 최소 선폭의 균일성 증가 기판 패턴 방법 KR20060129155A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/634,152 US7186486B2 (en) 2003-08-04 2003-08-04 Method to pattern a substrate
US10/634,152 2003-08-04
US55387404P 2004-03-17 2004-03-17
US60/553,874 2004-03-17

Publications (1)

Publication Number Publication Date
KR20060129155A true KR20060129155A (ko) 2006-12-15

Family

ID=34119184

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067002468A KR20060129155A (ko) 2003-08-04 2004-08-04 최소 선폭의 균일성 증가 기판 패턴 방법

Country Status (6)

Country Link
US (2) US7186486B2 (ko)
EP (1) EP1652007B1 (ko)
JP (1) JP2007501431A (ko)
KR (1) KR20060129155A (ko)
DE (1) DE602004021392D1 (ko)
WO (1) WO2005013007A1 (ko)

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE0104238D0 (sv) * 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
US7101816B2 (en) * 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
US7282706B2 (en) * 2004-02-12 2007-10-16 The Texas A&M University System Advanced optics for rapidly patterned laser profiles in analytical spectrometry
EP1719018A1 (en) * 2004-02-25 2006-11-08 Micronic Laser Systems Ab Methods for exposing patterns and emulating masks in optical maskless lithography
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
DE102004022329B3 (de) * 2004-05-06 2005-12-29 Infineon Technologies Ag Verfahren zur dynamischen Dosisanpassung in einem lithographischen Projektionsapparat und Projektionsapparat
US7632625B2 (en) * 2004-05-25 2009-12-15 Roberts David H Method of pre-exposing relief image printing plate
JP4908756B2 (ja) * 2004-12-22 2012-04-04 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US7403265B2 (en) * 2005-03-30 2008-07-22 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
US7718326B2 (en) * 2005-06-17 2010-05-18 Vincent E Stenger Seamless stitching of patterns formed by interference lithography
US7927779B2 (en) 2005-06-30 2011-04-19 Taiwan Semiconductor Manufacturing Companym, Ltd. Water mark defect prevention for immersion lithography
US8048589B2 (en) * 2005-07-30 2011-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Phase shift photomask performance assurance method
US8383322B2 (en) 2005-08-05 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography watermark reduction
US20070046954A1 (en) * 2005-08-24 2007-03-01 Asml Netherlands B.V. Method of verifying consistent measurement between a plurality of CD metrology tools
US7993808B2 (en) 2005-09-30 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. TARC material for immersion watermark reduction
KR100843890B1 (ko) * 2005-11-07 2008-07-03 주식회사 하이닉스반도체 리소그래피 공정의 시뮬레이션 방법
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US7917244B2 (en) * 2007-03-14 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for reducing critical dimension side-to-side tilting error
JP2009010079A (ja) * 2007-06-27 2009-01-15 Canon Inc 露光装置
TWI443472B (zh) * 2007-07-13 2014-07-01 尼康股份有限公司 Pattern forming method and apparatus, exposure method and apparatus, and component manufacturing method and element
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
US20090199152A1 (en) * 2008-02-06 2009-08-06 Micronic Laser Systems Ab Methods and apparatuses for reducing mura effects in generated patterns
KR101657053B1 (ko) * 2008-04-24 2016-09-13 마이크로닉 마이데이타 에이비 구조화된 거울 표면을 가진 공간적 광 조절기
US8492288B2 (en) 2008-06-10 2013-07-23 Micron Technology, Inc. Methods of treating semiconductor substrates, methods of forming openings during semiconductor fabrication, and methods of removing particles from over semiconductor substrates
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
JP5009275B2 (ja) * 2008-12-05 2012-08-22 富士フイルム株式会社 マルチビーム露光走査方法及び装置並びに印刷版の製造方法
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US8632948B2 (en) * 2009-09-30 2014-01-21 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US20110086312A1 (en) * 2009-10-09 2011-04-14 Dammel Ralph R Positive-Working Photoimageable Bottom Antireflective Coating
NL2006259A (en) * 2010-02-23 2011-08-24 Asml Netherlands Bv Lithographic apparatus and device manufacturing method.
US8539395B2 (en) 2010-03-05 2013-09-17 Micronic Laser Systems Ab Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
JP5220793B2 (ja) * 2010-03-31 2013-06-26 富士フイルム株式会社 マルチビーム露光走査方法及び装置並びに印刷版の製造方法
JP5220794B2 (ja) * 2010-03-31 2013-06-26 富士フイルム株式会社 マルチビーム露光走査方法及び装置並びに印刷版の製造方法
EP2553529A4 (en) * 2010-03-31 2013-11-06 Fujifilm Corp METHOD AND APPARATUS FOR SCANNING BY MULTI-BEAM EXPOSURE, AND METHOD FOR MANUFACTURING PRINTING PLATE
US9715995B1 (en) * 2010-07-30 2017-07-25 Kla-Tencor Corporation Apparatus and methods for electron beam lithography using array cathode
JP5662756B2 (ja) * 2010-10-08 2015-02-04 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
WO2012148606A2 (en) 2011-04-26 2012-11-01 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8614047B2 (en) 2011-08-26 2013-12-24 International Business Machines Corporation Photodecomposable bases and photoresist compositions
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
WO2013107595A1 (en) 2012-01-17 2013-07-25 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US9038003B2 (en) * 2012-04-18 2015-05-19 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
US8966409B2 (en) 2012-12-20 2015-02-24 Micron Technology, Inc. Methods of forming a mask and methods of correcting intra-field variation across a mask design used in photolithographic processing
US9645391B2 (en) 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
JP6321189B2 (ja) * 2014-01-27 2018-05-09 東京エレクトロン株式会社 パターン化膜の臨界寸法をシフトするシステムおよび方法
JP6758575B2 (ja) 2014-02-24 2020-09-23 東京エレクトロン株式会社 感光性化学増幅レジスト化学物質およびプロセスを使用する方法および技術
WO2015127348A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist
WO2015127353A1 (en) 2014-02-24 2015-08-27 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist
KR102402422B1 (ko) 2014-02-25 2022-05-25 도쿄엘렉트론가부시키가이샤 현상 가능한 하부 반사 방지 코팅 및 염색된 주입물 레지스트를 위한 화학 증폭 방법 및 기술
KR102447144B1 (ko) * 2015-01-09 2022-09-26 삼성전자주식회사 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법
JP2016184605A (ja) * 2015-03-25 2016-10-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び描画データ作成方法
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
TWI662360B (zh) 2016-05-13 2019-06-11 東京威力科創股份有限公司 藉由使用光劑之臨界尺寸控制
WO2017197279A1 (en) 2016-05-13 2017-11-16 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
US11687008B2 (en) * 2018-02-22 2023-06-27 Applied Materials, Inc. Method for automated critical dimension measurement on a substrate for display manufacturing, method of inspecting a large area substrate for display manufacturing, apparatus for inspecting a large area substrate for display manufacturing and method of operating thereof
TWI789405B (zh) * 2018-07-12 2023-01-11 聯華電子股份有限公司 光罩
US10761430B2 (en) * 2018-09-13 2020-09-01 Applied Materials, Inc. Method to enhance the resolution of maskless lithography while maintaining a high image contrast
US10545409B1 (en) 2019-05-30 2020-01-28 International Business Machines Corporation Dynamic adjustment of post exposure bake during lithography utilizing real-time feedback for wafer exposure delay
WO2021034567A1 (en) 2019-08-16 2021-02-25 Tokyo Electron Limited Method and process for stochastic driven defectivity healing
JP7471175B2 (ja) 2020-08-20 2024-04-19 株式会社オーク製作所 露光装置および露光方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4879605A (en) 1988-02-29 1989-11-07 Ateq Corporation Rasterization system utilizing an overlay of bit-mapped low address resolution databases
US6348907B1 (en) 1989-08-22 2002-02-19 Lawson A. Wood Display apparatus with digital micromirror device
US5148157A (en) 1990-09-28 1992-09-15 Texas Instruments Incorporated Spatial light modulator with full complex light modulation capability
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
US5304441A (en) * 1992-12-31 1994-04-19 International Business Machines Corporation Method of optimizing exposure of photoresist by patterning as a function of thermal modeling
US5467146A (en) 1994-03-31 1995-11-14 Texas Instruments Incorporated Illumination control unit for display system with spatial light modulator
EP0774130B1 (en) 1994-08-04 2006-12-20 Texas Instruments Incorporated Display system
US5835256A (en) 1995-06-19 1998-11-10 Reflectivity, Inc. Reflective spatial light modulator with encapsulated micro-mechanical elements
US5702559A (en) * 1995-07-13 1997-12-30 B&H Manufacturing Company, Inc. Method and apparatus for applying a tactilely distinguishable marking on an article
US5621216A (en) * 1996-04-26 1997-04-15 International Business Machines Corporation Hardware/software implementation for multipass E-beam mask writing
US5774254A (en) 1997-06-26 1998-06-30 Xerox Corporation Fault tolerant light modulator display system
JP2001092104A (ja) * 1997-12-26 2001-04-06 Nikon Corp フォトマスクの製造方法、及びデバイスの製造方法
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
JP2000349016A (ja) * 1999-06-07 2000-12-15 Sony Corp 描画方法、露光用マスク、露光用マスクの製造方法、並びに、半導体装置及びその製造方法
SE516914C2 (sv) 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
AU2001255614A1 (en) 2000-06-01 2001-12-11 Applied Materials, Inc. High throughput multipass printing with lithographic quality
US7302111B2 (en) * 2001-09-12 2007-11-27 Micronic Laser Systems A.B. Graphics engine for high precision lithography
SE0104238D0 (sv) * 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate

Also Published As

Publication number Publication date
EP1652007B1 (en) 2009-06-03
US7150949B2 (en) 2006-12-19
US20050053850A1 (en) 2005-03-10
EP1652007A1 (en) 2006-05-03
JP2007501431A (ja) 2007-01-25
US20050032002A1 (en) 2005-02-10
WO2005013007A1 (en) 2005-02-10
US7186486B2 (en) 2007-03-06
DE602004021392D1 (de) 2009-07-16

Similar Documents

Publication Publication Date Title
KR20060129155A (ko) 최소 선폭의 균일성 증가 기판 패턴 방법
JP4481156B2 (ja) ハイパーサンプル相関に基づくリソグラフィ処理の最適化
US7981595B2 (en) Reduced pitch multiple exposure process
JP4036884B2 (ja) 基板露光方法
KR100890665B1 (ko) 이중층 레티클 블랭크 및 이것의 제조방법
JP3972035B2 (ja) 検査方法とデバイス製造方法
JP4036669B2 (ja) リソグラフィ製造法およびリソグラフィ投影装置
EP1772776A1 (en) Method of patterning a positive tone resist layer overlaying a lithographic substrate and a computer program
JP3981664B2 (ja) 検査方法およびデバイス製造方法
JP2003022968A (ja) リソグラフィ装置の較正方法、リソグラフィ装置の較正に使用するマスク、リソグラフィ装置、デバイス製造方法、該デバイス製造方法により製造されたデバイス
US7352451B2 (en) System method and structure for determining focus accuracy
KR100384800B1 (ko) 패턴 형성 재료, 패턴 형성 방법 및 노광용 마스크의 제조방법
US7208249B2 (en) Method of producing a patterned photoresist used to prepare high performance photomasks
TWI441239B (zh) 製造微影元件的方法、微影單元及電腦程式產品
JP2005101615A (ja) レチクルに依存しないレチクル・ステージの較正
JP2007173807A (ja) デバイス製造方法およびコンピュータプログラム製品
Brunner et al. A new long range proximity effect in chemically amplified photoresist processes: chemical flare
Pawloski et al. Comparative study of mask architectures for EUV lithography
Cao et al. Intel's EUV resist development
US11854854B2 (en) Method for calibrating alignment of wafer and lithography system
Fosshaug et al. Influence of writing strategy on CD control for the spatial light modulator-based Sigma7300 DUV laser pattern generator
Albelo et al. Process development for 257-nm photomask fabrication using environmentally stable chemically amplified photoresists
Fuller et al. Photoresist processing for high-resolution DUV lithography at 257 nm
Boettiger et al. Aerial image analysis of quarter-micrometer patterns on a 0.5-NA excimer stepper
Joshi Characterisation of the impact of scattered light and power illumination nonuniformity on semiconductor processes

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid