JP2007501431A - 基板にパターン形成するための改善された方法 - Google Patents

基板にパターン形成するための改善された方法 Download PDF

Info

Publication number
JP2007501431A
JP2007501431A JP2006522529A JP2006522529A JP2007501431A JP 2007501431 A JP2007501431 A JP 2007501431A JP 2006522529 A JP2006522529 A JP 2006522529A JP 2006522529 A JP2006522529 A JP 2006522529A JP 2007501431 A JP2007501431 A JP 2007501431A
Authority
JP
Japan
Prior art keywords
exposure
pass
dose
workpiece
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006522529A
Other languages
English (en)
Other versions
JP2007501431A5 (ja
Inventor
ウォルフォード、ジョナサン
アスケブイェル、ペル
エクルンド、ロバート
フォッシャウグ、ハンス
Original Assignee
マイクロニック レーザー システムズ アクチボラゲット
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロニック レーザー システムズ アクチボラゲット filed Critical マイクロニック レーザー システムズ アクチボラゲット
Publication of JP2007501431A publication Critical patent/JP2007501431A/ja
Publication of JP2007501431A5 publication Critical patent/JP2007501431A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70558Dose control, i.e. achievement of a desired dose
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03CPHOTOSENSITIVE MATERIALS FOR PHOTOGRAPHIC PURPOSES; PHOTOGRAPHIC PROCESSES, e.g. CINE, X-RAY, COLOUR, STEREO-PHOTOGRAPHIC PROCESSES; AUXILIARY PROCESSES IN PHOTOGRAPHY
    • G03C5/00Photographic processes or agents therefor; Regeneration of such processing agents
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • G03F7/70283Mask effects on the imaging process
    • G03F7/70291Addressable masks, e.g. spatial light modulators [SLMs], digital micro-mirror devices [DMDs] or liquid crystal display [LCD] patterning devices

Abstract

本発明は、限界寸法変化を低減し、レチクル、マスク又はウェハなどの基板にパターン形成し、CD均一性を改善する方法に関する。具体的には、本発明は、マルチ・パス描画方式のパスにおいて加える線量を、基板に施されるレジスト又は放射感応層の測定可能な特性値に調整することに関する。具体的な描画処理を説明する。本発明の態様は、特許請求の範囲、明細書及び図面に記載する。

Description

本発明は、限界寸法変化を低減し、レチクル、マスク又はウェハなどの基板にパターン形成し、CD均一性を改善する方法に関する。具体的には、本発明は、マルチ・パス描画方式のパスにおいて加える線量を、基板に施されるレジスト又は放射感応層の測定可能な特性値に調整することに関する。具体的な描画処理を説明する。
半導体デバイスは、複数の構造層を含む。こうした構造は、レジストを塗布する工程、次いで露光工程、現像工程、及びレジストを選択的に除去して露光領域のパターンを形成する工程を含め、数多くの工程において形成される。露光領域をエッチングして材料を除去することもできるし、スパッタリングして材料を加えることもできる。レジスト内パターン形成の重要な部分は、レジストを露光することである。レジストは、その化学的特性を変化させるエネルギー・ビームにさらされる。
レジストを露光させる1つの方法は、ステッパの使用である。ステッパは、レチクルを使用し、そのレチクルは、露光すべき領域及び露光させないまま残すべき領域にパターン形成された非透過層であるマスキング層によってオーバーレイされた、慎重に作成された透過性石英基板を通常含む。パターン形成は、レチクル作成において不可欠な工程である。レチクルは、半導体、並びに平面型表示装置、フラット・パネル・ディスプレー及びTV若しくはモニタ・スクリーンなどの他のデバイスを製造するために使用される。
空間光変調器(SLM)は、所望の方法で設置して所望のパターンを形成することのできる多くの変調素子を含む。例えばDUVやEUVなど、あらゆる種類の電磁放射に反射SLMをさらして、マスク上に所望のパターンを形成することができる。
WO01/18606など他の特許出願において開示されるSLM描画装置は、ビットマップ・パターンを可能にするという意味でラスタ走査に関連するが、個々の画素からパターンを組み立てるのではなく、パターンのフレーム全体を1回のフラッシュで印刷するという意味では別のものである。
半導体デバイスの作成に使用されるレチクル上のパターンは、露光されるウェハ上のパターンより通常4倍大きい。この減少度は伝統的に、レチクル内の最小フィーチャ寸法が、半導体表面の最小フィーチャ寸法ほどクリティカルでないことを意味している。但し、クリティカリティの差は予想されるよりずっと小さく、近い将来にはその差はなくなるであろう。
線幅のパーセンテージとしての限界寸法均一性(CD均一性)は、ウェハ表面のフィーチャ内でよりもレチクル上のパターン内での方がより厳密さが求められる。CD均一性とは、パターン内の異なる点での単一限界寸法変動の最小化を意味する。即ち、同じ限界寸法を有するフィーチャ同士の実際の寸法の差がパターン内で減るにつれて、CD均一性は増す。ウェハ上では、線幅の±10%の限界寸法均一性は、伝統的に許容可能とされている。ウェハの線幅誤差のうち、限界寸法変動の半分、つまり線幅変動の5%は、マスクによるものである。残りの誤差は他のファクタによるものである。
マスク製造業界では、露光波長が短くなるにつれて、限界寸法調整はますますクリティカルになっている。レーザ・パターン発生器の場合、従来のDNQ/ノボラックによるものからDUV化学増幅レジスト処理への移行は、当初は困難であった。ウェハ・ステッパに対し、パターン発生器は全露光時間が比較的長いため、比較的熱容量が低く厚みのある石英基板と合間って、光生成酸の過剰拡散により、リソグラフィ性能が低減することがあり得る。フォトレジスト・ポリマーの構成が、酸拡散特性を、したがって結像の忠実度及び解像度をも決める際に大きな役割を果たす。
限界寸法均一性の要件は、特にマスクの場合、行く行くは厳しくなることが予想される。将来、ウェハ表面では、線幅の±5%の限界寸法均一性が必要となるであろう。同時に、理論上の解像度限界に近づけたフィーチャサイズを使用するために、リソグラフィのプロセスを調整してコンタクト・ホール、トランジスタ又は他のクリティカルなフィーチャの製造を最適化するなど、より積極的なリソグラフィ・プロセスのトレード・オフを背景に、マスク誤差促進係数は高まる傾向にある。マスクの場合、±1%の線幅又はフィーチャサイズの限界寸法均一性が期待されている。この分でいくと、露光されているウェハ上の領域の4倍の大きさのマスクを、ステッパが利用しているにもかかわらず、マスク上での限界寸法誤差の許容範囲が、ウェハ表面での許容範囲よりもナノメータ単位でとことん小さくなるであろう。
このように、限界寸法変動をさらに低減させる、レチクル又はウェハにパターン形成するための改善された方法の開発が望まれる。
したがって、本発明の目的は、正確な限界寸法を有する小フィーチャを、基板上に作成する方法を提供することにある。
本発明は、限界寸法変動を低減しCD均一性を改善する、レチクル、マスク又はウェハなどの基板にパターン形成するための方法に関する。具体的には、本発明は、マルチ・パス描画方式のパスに加える線量を、基板に施されるレジスト又は放射感応層の測定可能な特性値に調整する方法に関する。具体的な描画方式を説明する。本発明の態様は、特許請求の範囲、明細書及び図面において記載する。
以下の詳細な説明は、図を参照にして行う。好ましい実施例は、本発明を説明するために記載するのであって、特許請求の範囲に規定する本発明の範囲を限定するためではない。当業者であれば、以下の説明について様々な同等の変更ができることが分かるであろう。
図1は、マスキング層である非透過層102、並びにレジスト層104を含むコーティングされた基板100を示す。基板100は、それがレチクル又はマスクとして働く場合、石英基板でも、腐食性(Erodent)セラミック基板でも、ULE(登録商法)のガラス基板でもよい。但し、直接描画によって集積回路を作る場合は、この基板はどんな半導体材料でもよい。このような場合、レジストは直接この基板上に塗布する。
透過性基板上にマスクを形成して、ウェハ上のレジスト露光を目的としない領域内のエネルギー・ビームの経路を遮断する。基板100のマスキングされていない部分はエネルギー・ビームを通過させるためウェハ・レジスト上にパターンが形成される。
一般に非透過性のマスキング層102は、厚さ約40〜90nmのクロム層を含む。このクロム材料は、スパッタ堆積法により施すことができる。或いは、アルミニウム、金、タングステン又はシリコンを使用して非透過性マスキング層を形成することができる。
従来の技術でレジスト層、つまり放射感応コーティングを回転させて、厚さ約0.05〜0.20μの層を形成することができる。このレジストは、ポジ型レジストでもネガ型レジストでもよい。フォトン・エネルギーとともに使用されるレジストは、フォトレジストと呼ぶことができる。他のタイプのレジストは、電子や原子など他の形のエネルギーとともに使用される。放射又はエネルギー106は、多岐にわたるタイプのうちどのようなものでもよい。フォトン・エネルギーは、UV、DUV、EUV又はX線のスペクトル範囲内にあり得る。例えば、フォトン・エネルギーは、ヘリウム・カドミウム源(約442nm及び325nm)やクリプトン・イオン源(約413nm)によって生成することができる。フォトン・エネルギーは、エキシマ源、フッ化クリプトン・レーザ、フッ化アルゴン・レーザ(約308nm、248nm、193nm、157nm又は126nm)によっても生成することができる。レジストは、例えば、クラリアント社(Clariant)製のDX1100など化学増幅されたレジスト(CAR)でよい。レジストは、ノボラックなど、従来のポジ型又はネガ型非増幅レジストでもよい。レジストの他の例として、富士フィルム社のFEP160又は171がある。
レジストの露光は、パターン発生器を使用して行う。フォトン・エネルギーの場合、レーザ・パターン発生器又は干渉リソグラフィ・システムを使用することができる。電子の場合、電子走査装置を使用することができる。アプライド・マテリアル社(Applied Material)の子会社であるイーテック社(Etec)は、走査型レーザ・パターン発生器であるALTA(登録商標)製品を販売している。スウェーデンのテビー(Taby)にあるマイクロニック・レーザ・システムズ社(Micronic Laser Systems)は、走査型レーザ・システムであるOmega(登録商標)製品、及びマイクロミラー・ベース・システムであるSigma(登録商標)製品を販売している。ナノストラクチャ・ラボラトリ社(NanoStructures Laboratory)は、いくつかの局面でウィスコンシン大学と協力して、200nm、100nm及び50nmの空間周期を有する干渉リソグラフィ・システムについて記載した。
したがって、図1の物体画素108は、SLM内のマイクロミラーからの反射放射、走査型レーザ・システムからのビーム・スポット、電子ビーム、X線などであり得る。
1.概論
より高い解像度への要望は、フォトレジスト材料及びリソグラフィ露光ツール開発の推進力となっている。従来、光学マスク製造では、ジアゾナフトキノン(DNQ)−ノボラック系レジストが使用されている。2年前、化学増幅レジスト(CAR)を使用する遠紫外(DUV)レーザ・パターン発生器が、その優れた解像度、コントラスト及びフォトスピードにつき売りに出された。しかし、CARには、プロセス安定度、特に露光と露光後ベークとの間の遅延時間(delays)による潜像情報損失に関する固有の問題がある。浮遊分子の塩基汚染によるT−トッピング、つまりレジスト−空気界面でのレジストフィーチャ閉鎖が起こり、光生成酸のアミンによる中和反応が生じることがある。DNQ/ノボラック系レジストに対し、化学増幅DUVレジストは、一般に光化学反応及び熱駆動反応のどちらにも依拠する。結像により、光酸発生剤(PAG)の露光から酸が発生する。高ベーク温度でのブロック基による酸拡散及び酸反応によって、ポリマー・マトリックスが、疎水性から親水性挙動に変化し、それにより露光領域の溶解率が上がる。露光後ベーク温度が上がることによって露光感度が増すが、同時にこれには露出寛容度の損失が伴うことがある。線幅寸法調整及びプロセス寛容度の低下は、上昇する温度での酸拡散の増大、及び露光領域縁端での酸分解反応効率に関係する[1]。酸拡散現象は、線端部縮み(LES)及び線縁端粗さ(LER)の原因の1つでもある。光生成酸の強さ及びサイズは、酸移動度の偏移、したがって結像の忠実度に非常に重要である。充分なリソグラフィ性能を得るためには、レジスト配合物内の各成分の調整が不可欠である。
マスク作製条件は、ウェハ処理とは幾分異なり、マスクに適したDUVレジストの候補材料の設計をより難しいものにする。初めの頃は、低活性化エネルギーやアセタール・ブロック・ポリマーが、その優れた安定度のために、注目を浴びた。しかし、低活性化エネルギー(低−Ea)レジストの場合、露光工程中、脱ブロック反応と同時に形成された酸の横方向拡散がある場合がある。これらの2つの影響は、ウェハの短い露光時間(約数分)に比べて相対的に長いフォトマスクの露光時間(2時間)と組み合わさって、際立った線幅変動をもたらす場合がある。露光時間が長いと、アミン汚染の可能性も高くなる。さらに、露光後ベーク(PEB)によって、拡散が必要以上に複雑なものになる。石英基板が比較的厚い場合は、熱の量がより大きく熱伝導性が非常に低いため、薄いシリコン・ウェハの場合より熱調整が難しい。
マスク業界は、欠陥性、プロセスの複雑性及びコストの関係から、底部又は上部に反射防止のコーティング(基板汚染及び基板反射性、並びに浮遊物による汚染を最小に抑えるために、ウェハ業界で使用されている)を使用せずに、特別な線幅調整の可能なレジストの恩恵を受けている。レジストは、コーティング後の遅延時間安定度、及びフォトスピード安定度において尋常ならぬ特性も持つ必要がある一方、他の総合的要件をすべて維持していなければならない。こうした安定度要件の組合せが、レジスト製造業者にとって難題となっている。マスク作成条件に適したレジストを設計する上での別の問題を表1にいくつか示す。シリコン・ウェハ業界向けに今日市販されているレジストのほとんどは、底部反射防止コーティング(BARC)と一緒に使用するように設計され、またマスク作製において見られるより、かなり短い時間枠に対して安定するように設計されている。ウェハ業界における環境調整されたプロセス・ツール群の使用により、こうした設計手法が容易になっている。
化学増幅レジストをフォトマスク処理に組み入れることは、酸中和反応によって生じる潜像劣化のため、簡単なことではなかった。光生成酸又は触媒酸の中和反応は、非露光領域内への酸拡散が原因で起こるばかりでなく、T−トッピング及びフーチングをそれぞれもたらす、レジスト−空気界面での浮遊物による塩基汚染[2]又はレジスト−基板界面での塩基汚染が原因で起こる。このように、CARは、界面現象及び表面現象を起こしやすい。結像ボケに影響を及ぼすファクタは、酸拡散、再生酸の触媒の長さ、フォトレジスト・ポリマーの組成、添加剤、温度及び時間[3〜8]である。拡散現象は、最終的な解像度に影響を及ぼすだけでなく、等焦点バイアス及び近接効果にも影響を及ぼす。DUVレーザ・パターン発生器導入当時に入手可能な市販の様々なタイプのレジストの中で、クラリアント社製の低活性化エネルギー・レジストであるAZDX1100P(以降DX1100Pと呼ぶ)は、アミン汚染及び酸拡散バリア特性に対する、その優れた規定の安定性、並びに優れた規定のフォトスピード有効寿命(プレ・コーティングされたマスク・ブランクは、少なくとも9カ月もの長い間持続する)のために、適切な候補材料としてすぐに認識された。24時間を超えるPED安定度が報告された[9、10]。但し、DX1100Pは、PED安定度[12]が十分でないことと関係なく、定在波及びフーチング特性[11]がひどいことが分かった。マイクロニック・レーザ・システムズ社のSigma7300レーザ・パターン発生器の場合、FEP−171(富士フィルム・アーチ社)レジストに交換すると、全体の状況がめざましく改善された[13]。
Figure 2007501431
2.Sigma7300システムの描画方式
Sigma7300のシステム原理を図2に示す。このシステムは、マスクの露光に空間光変調器(SLM)を使用する。図2を参照すると、パターン発生器は、単値/多値画素アドレス指定を有するSLM201、照明源202、照明ビームのスクランブル又は均質化装置203、ビーム・スプリッタ209、レンズL1及びL2を含む結像光学システム204、開口208(通常フーリエ・フィルタ)、干渉計型位置調整システム206を有する微細位置決め基板ステージ205、並びにSLM用ハードウェア/ソフトウェア・データ操作システム207を含む。適切に機能すること及び操作勝手のよさのために、このパターン発生器は、温度調整された周囲環境室、基板装着システム、最適なパターンを正確に配置するためのステージ移動タイミング用及び露光レーザのトリガー用ソフトウェア、並びにソフトウェア利用者インターフェースも含む。パターン・データがラスタライズされ、コンピュータ制御された反射レチクルとして働くSLMチップ内にロードされる。これは、エキシマ・レーザ・フラッシュを反射するので、パターン像がマスク・ブランク上に集束する。マスク・ブランクを有するステージは、絶えず移動し、干渉計は、ステージが次のフィールドの位置に到達したときに、フラッシュするようレーザに命令する。フラッシュ時間が約20ナノ秒と短いために、ステージ移動はフリーズし、SLMのシャープな像がレジスト内に生成される。次のフラッシュに間に合うように、SLMに新しいパターンが再ロードされる。最後に、SLM内にパターンを供給するために、平行且つ拡大縮小可能なデータ経路の設計によって、最先端IC設計[14]の複雑な設計データが処理される。
平均化するため、4パス描画方式が使用される。この方式は、マスク全体にわたって線幅及び位置決め変動を最小に抑えるように最適化されている。各パスは、先行する描画パスからオフセットして、基板全体にわたり同じ方向に描画される。パターンを形成したフィールド同士を、オーバーラップ法を使用してとじ合わせて、とじ境界線全体の線幅変動を最小限に抑える。この調査で使用した通常の描画法及び他の代替法を図3に示す。図3aは、通常描画モード、図3bは、逆描画モード、及び図3cは、インターレース描画モードを示す。各図は、ステージ上のマーク301、ストライプ302、フィールド303及びストライプの印刷方向を示す。ステップ方向は、それぞれ311、312及び313である。通常描画方式3aでは、1つのフィールドの1回目のパスをストライプ302内に印刷し、次いでわずかなステージ移動311の後、次のフィールドのストリップが露光される。マスク・ブランク全体を印刷後、ステージは最初の位置に戻り、1回目と同様に2回目のパスが露光される。次いで、この手順は、3回目及び4回目のパスに対しても繰り返される。逆モードでは、2回目及び4回目のパスが逆方向312に露光されることを除き、通常モードの場合と同様のやり方で露光が行われる。インターレース・モードでは、どんなステージ移動313も起きる前に、4回のパスすべてが次々に直接露光される。このように、Sigma7300は、描画方式に関して、いくつかの選択肢を提供する。しかしさらに、Sigma7300のデータ経路は、今後のどんな描画法をも処理するように、フレキシブルに設計されている。
摂動効果を評定するために使用することのできる1つのデバイスとして、Sigma7300などのSLM描画装置がある。そうした描画装置の描画グリッドのレイアウトを図7に示す。フィールド・グリッドは、4分割されたSLMフィールド内のパス701、702、703及び704間でシフトされる。さらに、画素グリッド上でシフトを行って、対称形のコンタクト部及び線端部を作る。4回のパスを同じ画素グリッド上に置くと、好ましくない斜めコンタクト部及び線端部が作られる[15]。図7は、SLM及び画素の両観点からみたグリッドのレイアウトを示す図である。
図4は、ワークピースにパターン形成する、本発明による実施例の流れ図である。第1の動作では、当業者であれば誰もがよく知っている従来の技術に従って、レジスト、電磁感応コーティング、又は特定分子に反応しやすいコーティングを基板上に塗布する。第2の動作では、所与の露光線量を有する1回目のパスでレジストを露光させる。マルチ・パス方式を使用して基板にパターン形成する別の方法がいくつかある。第1の方法は、第1の部分を各パスで次々に速やかにパターン形成してから、別の部分を各パスで露光させる方法である。別の方法は、まずウェハ又は基板全体を1回目の露光パスで露光させ、それが終わると、もう一度スタート位置から次のパスを始める。ウェハ全体を完全に露光させるまでこれを続ける。スタート位置から始めずに、パスを1回おきに逆方向に露光することもできる。露光させるべき部分をランダム化することも可能である。
2回目の露光を開始する前に、レジストを露光するための線量が増加される。線量の増加は、パターン形成されるレジスト、及び完全に露光を完了するパスの回数から選択されることが好ましい。線量はパス毎に増やしたり減らしたりすることができる。つまり、露光させる各パスは、今露光させたパスより高い/低い露光線量を有する。ワークピースを完全に露光させた後、よく知られた技術に従って、このワークピースは現像される。
基板の露光に使用されるパターン発生器を操作する操作者は、線量の増加をパス間で手動設定することができる。どんな種類のレジストを露光し、操作者が何回パスを使用したいかの情報を提供後、この線量の増加を機械によって自動的に保存し選択することもできる。操作者が、それぞれのパス用に自動的に選択された露光線量に満足しない場合、操作者はそれらのうち1つ又は複数を変えることができる。
一実施例によれば、各パスの実際の線量は、限界寸法均一性を最適化するように選択することができる。限界寸法均一性の線量は、それぞれのレジスト又は乳剤間で異なるものと考えられる。限界寸法均一性を最適化するために、マルチ・パス描画方式において各描画パスの最適線量を決める1つの方法は次の通りである。図5では、4回の描画パスを使用して4本の線を露光させる。最先端4パス描画方式では、各パスに同じ線量、つまり露光閾値の25%の線量が与えられる。レジストの露光は累積効果である。つまり、1回の描画パスに線量を全部加えることもできるし、何回かの描画パス間で線量を分割することもできる。1回又は複数回の描画パス内で欠陥が均等化されるため、マルチ・パス描画方式は好まれる。ある特定のレジストの場合、ある線量がこのレジストに加えられたときに露光が起こる。露光閾値を下回ると、露光は起こらない。未露光から露光への移行は、比較的急激で、はっきりと画定される。
各パスの効果を判断する1つの方法は、各パスに摂動を導入し、その摂動がどのようにCDに影響を及ぼすかを見ることである。1回目の描画パスでは、第1の線305に摂動を与える。摂動は、線量の変更でもよいし、線寸法の変更でもよい。摂動は、例えば2%の増量といった、わずかな線量変動であることに留意されたい。1回目の描画パスでは、露光閾値の27%で第1の線を露光させ、第2の線510、第3の線515及び第4の線520を、露光閾値の25%で露光させる。2回目の描画パスでは、第2の線510に摂動を与える。3回目の描画パスでは、第3の線515に摂動を与え、4回目の描画パスでは、第4の線520に摂動を与える。
4本の線の4回の露光を完了後、各描画パスにおいて1本の線が変形している場合、それらの線をCD測定する。図6は、露光後のこうした4本の線を示す。これらの線は、単に分かりやすくするために、幅を幾分誇張してある。この図から、第1の線605が第2の線610より高いCDを有し、第2の線610が第3の線615より高いCDを有し、第3の線615が第4の線620より高いCDを有することが明らかである。描画線量が、各描画パスに対して同等であるという前提で、このことは、摂動が、より早い時期の描画パスにおいて導入されるほど、後の描画パスにおけるよりも、パターンに影響を及ぼすことを説明している。
特定の描画線量で、より早い時期に描画されたパスほど、同じ線量で描画された後のパスより、レジストに影響を及ぼすことが実験的に示されている。1回目の描画パスにおける摂動は、2回目以降の描画パスにおける同じ摂動より大きな影響を及ぼす。それぞれのパスが少なくとも部分的に互いに重畳するマルチ・パス描画方式では、通常のパターンが見られる場合、程度の差こそあれ、この影響はやはり顕著であり得る。この通常のパターンは、1回目の描画パスにおいてサブ・パターンがオーバーラップするような領域に相当する。早い時期の描画パスと同じ線量で行う後の描画パスほど、早い時期の描画パスほどにはレジストに影響を及ぼさないことは、程度の差こそあれ、毛抜き合わせ誤差(butting error)が顕著になり得るという別の影響をもたらす。CD均一性は、ある特定のパターンがどの描画パス上で隣接するかによってきまる。マイクロ・ミラー・デバイス(SLM)を利用して完全なパターンを作り、この印刷パターンを基板上に発生させる場合、各描画パスにおいて、多数の物体画素のうちいくつかのスタンプが合わされる。こうしたスタンプは、隣接領域内で部分的にオーバーラップさせることができる。各描画パスにおいて同じ線量を使用する場合、隣接領域を表す特徴的なパターンが1回目の描画パスから現れる。
3.フォトレジストの化学作用
3.1 DX1100Pフォトレジストの化学作用
DX1100Pは、クラリアント社からの第1世代の248nmDUVレジストであり、低反射性基板をねらいとしていた。DX1100P(Mw≫10.000)は、ポリグリコール・メチルエーテル・アセテート(PGMEA)に溶解させた、アセタール系保護基(揮発しにくく、単純なアセタールよりバルキーなビニルエーテル)を有する基幹材料としての(ポリ)4−水酸化スチレン(PHS)と、光酸発生剤(PAG)としてのトリフェニルスルフォニウム・トリフレート(沸点=162℃)と、光分解性塩基[9、10](PDB)としての水酸化トリフェニルスルフォニウムとからなる。置換すると、高度の疎水性(保護率は約30%)になるという性質がある。加えられた塩基は、ポリマー鎖に固定され、塩基によって引き起こされた拡散効果は、取るに足らないものとなる。露光中、PAGは、強い有機スルフォン酸、つまり保護アセタール基の分解に触媒作用を及ぼすトリフルオロ・メタン・スルフォン酸(CF3SO3H)を発生する。ポリ・アセタールがすべてそうであるように、反応が進むためには、脱保護当たり水の一当量がどうしても必要である。PAGは、照射時、中程度の強さで小さい高速拡散酸性種を形成する。サイズが小さいことは、高拡散率につながる。酸の強さ(pKa)は、アセタール保護基の塩基度及び所望のPEB温度に慎重に整合される。CF3SO3H(a.k.a.トリフリック酸)に対するpKa値は、>−12[16]と報告されている。酸触媒が媒介する次のレジストの加熱分解によって、保護基はばらばらになる。脱保護反応を図7に概略的に示す。Ea<20kcal/モルという低活性化エネルギー(Ea)レジストであるため、脱保護の大部分は露光中に室温で起こる。露光すると、PDBは、ジフェニル・スルフィド及び高反応フェニル基にばらされ、それらがポリマー・フェノールと再結合する。塩基を加えることの主な考え方は、露光領域から未露光領域に拡散する酸分子が、光分解性塩基に捕らえられ中和されるということである。
図8は、DX1100Pの化学作用の脱保護反応を概略的に示す図である。
3.2 FEP−171フォトレジストの化学作用
富士フィルム・アーチ社のFEP−171は、電子ビーム応用例及び光学的応用例のどちらにも使用することのできるレジストである。DX1100Pの後継となる材料の調査において、FEP−171は、プレ・コーティングされたマスク・ブランクで業界を支援するHOYA社のマスク・ブランク事業部(Hoya Mask Blank Division)からマスク界が市販入手可能であるのみならず、レジスト・プロファイル及び環境安定度に関する性能がよいことから注目をあびた。
FEP−171は、知的所有権下にあるため、詳しい情報はここでは記載しない。但し、FEP−171は、塩基性、分子サイズ及び作用を調整するための添加剤を有する2成分PHS系レジストである。アミン添加剤は、酸移動度を制約し、PCD及びPED安定度、並びに有効寿命を改善するためにも使用される。使用される溶剤は、PGMEA/PGME混合物である。単純なアセタール配合レジスト[17]に比べ、バルキーなアセタールのブロック基(図9)及び光酸発生剤の特定品目を使用すると、プロファイル、解像度及び溶解コントラストが改善される。PAG自体はかなり大きく強力で、光分解中、拡散の遅い酸を発生する。FEP−171の場合、露光中ではなく、たいていPEB工程においてポリマーの脱ブロッキング反応が起こるので、単純なアセタール配合レジストとは異なる。それでも、バルキーなアセタール・ポリマーは、その脱ブロッキング反応が進むために、ほんの少しの熱エネルギーしか要しない。したがって、高活性化エネルギー・ブロッキング化学作用の場合はたいていそうであるように、レジストは、触媒酸のあまりにも強力すぎる熱駆動拡散に見舞われる必要はない。ポリマーのこの独特の設計によって、FEP−171は、PEB温度に対して感度が低いばかりでなく、大気圧下は勿論のこと真空下でも充分なPED安定度を発揮する。
4.実験条件及び方法
4.1 処理及びリソグラフィ評定
市販のコーティングされたAR8クロム・マスク・ブランクを使用した。ブランクは、DX1100Pフォトレジスト又はFEP−171フォトレジストのどちらかのコーティングだった。マスク・ブランクをすべて、マイクロニック・レーザ・システム社のSigma7300DUVマスク描画システム上で露光させた。これらのマスクを、ゾーン調整された熱板と、エクストラクション・システムズ社(Extraction Systems)製アミン・フィルタとを備えた、ステアグ・ハマテック社(STEAG Hamatech)製APB5000電気コンロ上でベークした。ベーク温度は、DX1100Pの場合70℃、FEP−171の場合120℃で、双方とも、温度上昇時間は180秒、温度安定時間は60秒であった。コンロ全体にわたる温度変動は、上昇中は1℃未満、安定時は、0.2℃未満であった。次いで、界面活性された現像液であるAZ726MIF(重量パーセント2.38のテトラ・メチル水酸化アンモニウム、つまりTMAH)(クラリアント社製)を使用し、スプレー現像とパドル現像とを組み合わせて、60秒間マスクをステアグ・ハマテック社製ASP500システム上で現像した。パターン形成されたマスク・ブランクを測定するために使用した計測システムは、KLA8250Rトップ・ダウンCD−SEMであった。
レジスト・プロファイル及びレジスト溶解時間への浮遊物汚染による予想される影響を最小限に抑えるために、プロセスの工程同士の間隔を一定に且つ可及的に短く保持した。露光と露光後ベークとの間の遅延時間、及び露光後ベークと現像との間の遅延時間は、それぞれ5分未満であった。クリーン・ルーム内でのアミン総濃度は、8〜12ppbであった。露光後、マスク・ブランクを露光室内のSMIFボックス内に装着し、その後手動でホット・プレートに移した。露光ツールは、エクストラクション・システムズ社製アミン・フィルタを備えているので、分子塩基総濃度は、環境室内で、通常1ppb未満であった。
4.2 線幅誤差源
この調査では、露光ツール及びプロセス装置はどちらも、CD誤差源となる可能性がある。これらの装置特有の特性についての知識を利用すれば、求めるパラメータにその特性が及ぼす影響を相殺、又は少なくとも最小限に抑えることができる。したがって、露光システム及びプロセス装置からの誤差原因を最小限に抑えるために、数々の工程を踏んだ。すべての中で最も明らかな原因は、おそらく総括的プロセス、つまり現像プロセスの回転特性から生じる放射の線幅変動であろう。基準構造を有する局部パターンを使用すると、この誤差源からの原因を最小限に抑えることができる。フィッティング回転特性の線幅範囲は、15.24cm(6インチ)のマスク全体にわたって通常5nm未満である。残りは、現像プロセスにおける非システム的誤差である。こうした誤差は、セルの繰返し測定を平均化することによって対処される。実験は、各セル内の相対測定に基づいており、1つのセル1001は、数mm未満である。図10にハッチ・パターンで示すCD領域間のプロセス変動の特徴的な長さスケールに比べ、セル1001は非常に小さいため、誤差は1nm未満であると推定される。
露光ツールについては、より徹底的な議論が必要である。実験の設定の説明から分かるように、1回のパスにおける擾乱が線幅に及ぼす影響は、擾乱の影響を受けていない線の線幅に関係する。パス間をオフセットせずに露光を行うと、SLM上の同じ領域が各パスのために使用されるため、SLMからの原因は相殺される。これにより、各パスは、まったく同じSLM条件下で露光される。フィールド間の線幅繰返し精度は、測定ツール(動的繰返し精度は2nm)の繰返し精度より低い。測定箇所はすべて、予想される誤差源を排除するために、フィールド間の合わせ領域を避けている。
線幅はどちらかと言えば、パス間のオーバーレイの影響を受けにくいことが分かる。図11に示すように、線幅が測定ツールの繰返し精度以上に相当な影響を受けるには、オーバーレイ誤差1101は、40nm超である必要がある。使用された露光ツールのパス間オーバーレイ誤差は、通常15nm(3σ)未満である。通常の露光条件下で使用されるツールのオーバーレイの方がずっとよい。図に示すデータは2回のパス間のオーバーレイ誤差なので、より小さい線幅誤差は4回のパスから期待される。オーバーレイが原因となる予測線幅誤差は、1nm未満である。
線量変動によって、PEB前は光生成酸が増え、したがってポリマーの脱保護率が高くなることになる。レーザのパルス間安定度は、露光ツール/レジスト・システムの組合せの線量感度を通じて線幅に影響を及ぼす。この調査における露光線量では、DX1100Pレジスト及びFEP−171レジストのどちらも感度は1.5nm/%の線量変化であり、レーザの線量安定度は、1%未満(通常の偏差)である。これにより、どちらの場合も、レーザ変動が原因の1.5nm線幅変動をもたらす。
露光ツールの焦点安定度は、設定値誤差も含め、50nm(3σ)より良好である。焦点は、線幅と焦点と露光との間の関係を通じて、得られる線幅に影響を及ぼす(Bossung図)。露光は、等焦点線量に近づけて行われたので、線幅と焦点との関係はフラットである。焦点変動から生じる線幅誤差の見積もりは2nm未満である。
パス間の遅延時間も、得られる線幅に影響を及ぼす。光の拡散又は散乱が原因の公称非露光領域内の光生成酸は、無視してよいと考えられる。DX1100Pの場合、パス間の遅延時間による影響があることから、遅延時間は数秒内に一定に保った。CDの指数関数的挙動をパス間の時間関数とすると、この誤差は0.5nm未満と推測される。
PEB中の温度変動が、線幅変動をもたらすことがある。PEB温度が上がっても光分解から生じる酸量は変化しないが、加えられたエネルギーによって酸移動度が上がり、それにより脱保護レベルも上がる。FEP−171を測定すると、温度感度は0.3nm/℃であり、文献の報告によると、DX1100Pの場合は1nm/℃未満である。使用されたベーク・システムの具体的な温度均一性は、安定状態で0.2℃、上昇中は1℃であり、ベーク・システムによる1nm未満の誤差が生じる。
誤差源はすべて、互いに無関係であると考えられ、したがって垂直に加えることができる。知られているこうした誤差源すべての総合誤差は、以下の式により合計される。
Figure 2007501431

但し、
CDpulse−to−pulse=パルス間のレーザ変動が原因となる総CD誤差
CDoverlay=オーバーレイが原因となる総CD誤差
CDfocus=焦点変動が原因となる総CD誤差
CDtime delay=露光工程とPEBとの間の遅延時間の影響が原因となる総CD誤差
CDPEB=PEB中の温度変動が原因となる総CD誤差
CDdevelop=現像プロセスが原因となる総CD誤差
である。
実際の数字を入れると、総合誤差値(Errortotal)≫3.1nmである。
4.3 印刷モードの影響
露光経路及び各露光間の遅延時間が及ぼす影響を調べるため、4パス印刷のそれぞれの変動を、DX1100Pレジストを使用して調査した。基準として1パス露光も使用した。4パス印刷では、総公称線量の25%を各パスに使用した。露光間の遅延時間を調整するために、1回の露光それぞれに時間的手法を採用することによって、マスク・ブランク全体の印刷をシミュレートした。露光は、図12の1210内1〜5に示すプレート上の5箇所のみで行った。プレート全体の描画をシミュレートするため、5箇所での描画間遅延時間は15分であった。公称フィーチャサイズは2mmであり、SEM内の明部の交差部を測定して、全ケースの線幅変化を判断した。
早い工程の試行では、限界寸法変化と適用線量変化との間に緊密な関係が観察された。この関係は、ΔCD≒k*Δ線量、で表すことができ、この場合CDはナノメータに換算して表され、kは定数であり、線量は露光閾値のパーセンテージに換算して表される。クラリアント社製DX1100の場合、等焦点線量での定数kは、約1.5である。
CD変化と線量変化との関係が得られると、上記のCD測定から最適な線量配分を予測することが可能である。CD均一性を最適化するために、それぞれのパスがレジストに同等な影響を及ぼすこと、つまりいずれかの描画パスへの摂動導入によって同じ効果が生ずることが望まれる。
DX1100の場合、4パス描画方式を使用したときに最適なCD均一性を提供する線量配分は、1回目の描画パスでは20%、2回目の描画パスでは23.3%、3回目の描画パスでは26.7%、そして4回目の描画パスでは30%である。
図12から分かるように、線幅への最もめざましい影響は、逆印刷モード1224を使用したときに起こった。逆印刷モードにおいて、プレート上の位置1及び位置5が、続けてほとんど間隔をあけずに2回の露光を受けたことによって、これらの位置では線幅が著しく増大した。この図から、4パスインターレース・モード1222が、1パス・モードよりも広い合わせ寸法をもたらしたことも分かる。一方、通常印刷モードは、1パス・モード1221よりも狭い線幅となった。このように、DX1100Pを使用する場合は、描画方式に応じて、異なる公称線幅が得られる。しかし、データにオフセットを適用することによって、逆印刷モード1224を除くすべてのモードについて、この効果をなくすことができる。先に述べた別の実験では、DX1100Pを使用した場合、1回目の露光パスが、最後の線幅に主に影響を及ぼしたことが明らかだった。これにより、等線量4パス法を使用する代わりに、工程的線量法による実験を行うことになる。工程的線量という概念を最適化するためのプロセス中、前の材料内のレジストのフーチング及び定常波が過剰だったため、DX1100Pの化学作用からFEP−171の化学作用への切り換えを行った。したがって、本明細書において報告する以下の調査はすべて、FEP−171フォトレジストを使用して行った。
4.4 線量法の影響
印刷モードの効果の他に、各パス内に加えた線量による影響も調査した。プレート全体にわたってよりよい線幅均一性を得るために、工程的線量法をテストした。2つの手法を適用し、パスのうち1回に線量増加(露光係数)による擾乱を加えるか、設計された線幅データにバイアスを加えるかした。
6種の組合せすべてにつき4回の描画を行い、第1回は1回目のパスに擾乱を加え、第2回は2回目のパスに擾乱を加えるなど、図13に示すように、合わせて24種の組合せを得た。このグラフは、6種の方法及び1回のパス毎の線量係数を、露光量換算及び総露光量のパーセンテージの両方で示している。合計1000の線量単位は、1パス印刷の露光量に相当する。縦軸は露光係数である。幅の広いバーの上の細いバーは、欠陥を意図的に導入したときの、プラス50露光係数単位摂動の線量擾乱を示す。
図14に示す第1の実験では、意図的な擾乱は、図13に誤差バーで表す5%の線量変動に相当する50露光係数単位であった。フィーチャサイズは公称320nmであった。使用したレジストはFEP−171であった。得られた線幅(縦軸で示す)は、横軸で示すパス数における加えた線量擾乱に対するグラフである。測定したフィーチャが明部のフィーチャだったため、線幅は、公称値よりも狭い。
25%及び50%(250及び500露光係数単位)という、より高い擾乱線量による影響を図15に示す。擾乱線量のそれぞれに対し、4回の各描画パスにおいて擾乱を加えた場合と加えていない場合の試行を示す。明部のフィーチャの測定を行って、線幅が公称320nm線幅より上か下かを判定した。図16に示す第2組の試行を行って、負の線量バイアスが及ぼす影響を判定し、投影線幅に換算して表した。こうした線幅バイアスを、それぞれの描画パスにおいて負の擾乱として加えた。加えた線幅バイアスは、−20、−50及び−100nmである。公称フィーチャサイズは400nmであった。やはり明部のフィーチャに対し測定を行った。より高いバイアス1603は、後に続く描画パスよりも1回目の描画パスにおいてより影響を及ぼしやすいことを示した。図17は、同じ負の線幅バイアスを公称フィーチャサイズ1000nmに対して加えた場合の図である。やはり、より高いバイアス1703は、後に続く描画パスよりも1回目の描画パスにおいてより影響を及ぼしやすいことを示した。
5.解説
光酸発生剤及び関連する酸発生の特性、並びに化学増幅型レジスト内の触媒作用の特性は、リソグラフィ性能を判定する際に決定的な役割を果たす。したがって、調査した2つのレジストの化学作用、及びSigma7300レーザ・パターン発生器の描画方式に対するこの化学作用の意味合いを解説する。光生成酸の強さは、フォトスピード、サイズに対する線量、脱保護効率、露光後遅延時間の安定度、及び露光後ベークの感度などのパラメータに影響を及ぼす場合がある。酸のサイズは、移動度、酸損失、解像度、定常波、及び遅延時間安定度に影響を及ぼす。一般に、小さくて強い酸は、高脱保護効率及び高酸拡散をもたらす。したがって、大きくて弱い酸については、これと反対のことが酸拡散及び脱保護効率に関して言える。比較的力が弱く、小さいサイズの酸は、低脱保護効率及び高拡散につながる。したがって、力が弱く大きなサイズの酸は、低脱保護効率、及びより低酸拡散を呈すると推測される。高蒸気圧及び低沸点を有する酸は、露光中及び/又はFEB中に露光領域を容易に脱出することができる。
局部の酸濃度は、空中像に正比例している。フィーチャの縁端部には、傾斜のついた強度プロファイルがあり、したがって酸勾配が形成される。酸勾配が浅い場合は、酸勾配の傾斜がよりきつい場合よりも拡散駆動力が小さい。閾酸濃度前線より先にあるポリマー・マトリックスに反応する現在の低濃度酸と、それに対応する酸との間に競争プロセスがある。ガス又は樹脂可塑化反応による副産物が原因で、ポリマー・マトリックス内の自由体積が増加するため、酸移動度が高くなる場合がある。ガス生成物はフィルムから急速に脱離するため、生じた自由体積は永久には残らないということが分かっている[18]。
酸拡散効率D及び拡散長Lは、次の等式を使用して計算することができる。
Figure 2007501431

但し、
s=イオン伝導率
k=ボルツマン定数
T=絶対(拡散)温度
[H+]=酸量(濃度)
q=イオン価
である。拡散長Lは、次の式から計算することができる。
Figure 2007501431

但し、t=ベーク(拡散)時間である。
拡散効率及び拡散長の等式から、FEBの温度が高くベーク時間が長いほど、酸拡散長は概して大きくなることが明らかである。一方、線量が多いほど酸濃度が高くなり、それにより拡散効率が悪くなり、したがって拡散長がより短くなる。しかし、上記の拡散効率等式によれば、線量が増えるに従って、イオン伝導性も高くなり、次いでそれが拡散効率を高める。したがって、線量が酸拡散長に及ぼす影響は、単に単純なものではない。加えて、酸のイオン価が小さいほど拡散効率が高くなり、それにより、拡散長がより大きくなる。
露光すると比較的小さく強い酸を形成するDX1100Pは、アミンで酸性度が緩和されるにもかかわらず、過剰な酸拡散を被る場合がある。反対に、露光中に比較的大きく強い酸を形成するアミンによって緩和されたFEP−171は、したがって酸拡散にあまり影響を及ぼさない。しかし、適切な描画方式を使用することによって、DX1100P又は同様に作用するレジストが及ぼす遅延時間のマイナス効果を打ち消すことができる。FEP−171は、1回のパス毎の線量による影響が付加的であり、露光中に事実上脱保護が起こらず、したがって露光後ベークの前に酸拡散反応又は酸触媒反応が同時に起こらないため、レーザ描画装置にとって理想的な挙動を呈する。これにより、FEP−171の場合、描画方式の選択にこだわらなくてすむ。
残留溶剤含有率が、2つのレジスト間で異なる可能性がある。溶剤によって光生成酸[5]の移動度が向上する。DX1100Pを典型的に70℃でベークし、FEP−171を120℃でベークしたので、残留溶剤含有率は、FEP−171の場合の方が低いはずである。このことにより、酸移動度及び拡散長も小さくなり得る。FEP−171内の逆イオンについての情報が無いため、2つのレジスト間を具体的に比較することができないので、逆イオンが酸拡散に対して持ち得る意味合いも分からない。
Sigma7300レーザ・パターン発生器は、4パス・オフセット印刷方式を採用している。パスの回数を減らすと、スループットが高くなり、遅延時間効果に関して有利である。しかし同時に、CD均一性及びオーバーレイ/重ね合わせ精度が悪くなることがある。したがって、マスク描画装置のリソグラフィ性能を最大に引き出すために、適切な描画方式を選択することは極めて重要である。パスの時間順序及び線量法、並びに印刷方向の選択を可能にする内部フレキシブル性によって、酸拡散現象が原因で生じる結像ボケによる影響が低減される。このように、Sigma7300レーザ・パターン発生器のこの固有の特徴により、DUVレジストの化学作用が解像度及びCD均一性に及ぼす影響が、非常に効果的に低減される。
6.結論
本明細書の記載では、Sigma7300描画方式内の構成要素のうちいくつかが、2つの化学増幅型レジスト(DX1100P及びFEP−171)のリソグラフィ性能に及ぼす影響を検討した。光生成酸及びその拡散特性によっては、描画方式の選択が、線幅調整のために非常に重要であることがある。DX1100Pを使用する場合、露光パス間のタイミングが、線幅変動を起こすことが分かった。逆描画モードは、DX1100Pがコーティングされたプレート上に印刷を行う場合は、プレート全体にわたり線幅変動が比較的大きくなるため、特に好ましくないことが分かった。最適なマスク作製におけるDUV化学増幅レジストに関連する当初のプロセス安定度問題は、フォトレジストFEP−171を使用することによって、Sigma7300レーザ・パターン発生器では今は大いに低減されている。FEP−171は、その中に含まれ、脱保護が起こるために比較的高い活性化エネルギーを必要とするポリマー構造、つまり低拡散PAG及びバルキーなブロック基のおかげで、充分な性能を発揮することが実証されている。実際、FEP−171はどちらかと言えば、加える線量及び線幅擾乱を選ばないので、特定の描画方式は必要ないと思われる。それでも、知的なやり方で1回ごとのパス及び印刷方向の調整が可能であれば、Sigma7300露光ツールは、DUVレジスト構造に対し非常にフレキシブルになる。
いくつかの特定の実施例
本発明は、方法として、又はこの方法を実施するようになされたデバイスとして実施することができる。この同じ方法を、照射方式の構成、基板上感応層の露光、又はデバイス構造の作成という観点から見ることができる。本発明は、描画パス間線量増大化描画方式を開発又は実施するためのロジックが刷られた媒体などの製造物品であり得る。
本発明の一実施例は、マルチ・パス描画方式においてエネルギー・ビームを使用しながら、エネルギー・ビームに感応する層をコーティングしたワークピース上に、フィーチャパターンの限界寸法を均一に向上させるためのリソグラフィ法である。この方法は、描画パスがエネルギー感応コーティングに同等に影響を及ぼすようにパスの個々の線量を決め、それによりフィーチャの限界寸法の均一性を向上させる工程を含む。この方法は、マルチ・パス描画方式を使用し、描画パスにおいて個別の線量を加えながらコーティングを露光させる工程をさらに含む。この方法は、任意選択でコーティングを現像することをさらに含むことができる。
この実施例のさらなる態様は、空間光変調器を使用してフィーチャを作成する工程を含むことができる。この実施例を実施するには、エネルギー・ビームは、EUVからDUVの範囲のパルス状放射でよい。放射ビームの強度を偏向設置してフィーチャを作ることが可能な、変調器及び偏向器の構成を使用することができる。或いは、回折格子を使用してフィーチャを作ることもできる。
露光は2回以上の描画パスにおいて行うことができる。1回目の描画パスは露光閾値の半分未満の量の放射を使用することができ、2回目の描画パスは、露光閾値の半分を超える量の放射を使用することができる。或いは、露光を3回以上の描画パスにおいて行ってもよい。3回以上の描画パスでは、パスからパスへ線量を増加させる。線量は、直線的に、指数関数的に、又は対数的に増加させることができる。露光は4回以上の描画パスにおいて行うこともできる。
描画中、次の描画パスがワークピースのどの部分も露光させない前に、1回目の描画パスによってその全体を露光することができる。ワークピースの各部分を、同方向又は交互方向に露光させることができる。
この実施例の別の態様は、あるレジストについては、最後の露光パスの線量を1回目の露光パスより40〜60%増加させてもよいし、別のレジストについては、45〜55%増加させてもよいというものである。使用するレジストは化学増幅レジストでよい。ワークピースは、マスク基板又はウェハでよい。
別の観点から見ると、この開示は、先に述べた実施例を使用してマスク又はレチクルを作成する方法として実施することもできる。その場合、ワークピースはマスク又はレチクルであり、方法はワークピースにパターン形成する工程をさらに含む。パターン形成工程の後、コーティングを現像する工程が続く。或いはこの開示は、先に述べた実施例を使用してデバイス構造層を作成する方法として実施することもできる。その場合、ワークピースはウェハであり、方法はウェハをパターン形成する工程をさらに含む。或いはこの開示は、先に述べた実施例を使用して第2のワークピース上に精密な構造を作成する方法として実施することもできる。その場合、第1のワークピースはマスク又はレチクルである。この方法は、マスク又はレチクルを使用して、第2のワークピース上の放射感応材料からなる層を露光させる工程と、第2のワークピース上の露光層を使用して、第2のワークピース上のデバイス構造層をパターン形成する工程とをさらに含む。
好ましい実施例及び先に詳細に述べたいくつかの実施例に関して本発明を開示するが、こうした実施例は、限定する意味ではなく、説明のためのものであることを理解されたい。当業者は様々な変更及び組合せを容易に思い付くと考えるが、こうした変更及び組合せは、本発明の趣旨及び添付の特許請求の範囲に入るものとする。
参考文献
1.S.A.MacDonald、N.J.Clecak、H.R.Wendt、C,G.Willson、CD.Snyder、C.J.Knors、N.B.Deyoe、J.G.Maltabes、J.R.Morrow、A.E.McGuire、及びS.J.Holmes、「Airborne Chemical Contamination of a Chemically Amplified Resist」、Advances in Resist Technology and Processing XIII、Proceedings of SPIE、Vol.1466、pp.2〜12(1991)
2.S.A.MacDonald、W.Hinsberg、R.Wendt、N.Clecak、及びC.G.Willson、Chem.Mater.、5、p.348(1993)
3.E.A.Dobisz、T.H.Fedynyshyn、D.Ma、L.M.Shirey、及びR.Bass、Journal of Vacuum Science and Technology、B16(6)、p.3773(1998)
4.P.L.Zhang、A.R.Eckert、C.G.Willson、及びS.E.Webber、「Acid Diffusion Through Polymer Films」、Advances in Resist Technology and Processing XII、Proceedings of SPIE、Vol.3049、p.898(1997)
5.L.Schlegel.、T.Ueno、N.Hayashi、及びT.Iwayanagi、「Determination of Acid Diffusion in Chemical Amplification Positive Deep Ultraviolet Resists」、Journal of Vacuum Science and Technology、B9(2)、Mar./Apr.、pp.278〜289(1991)
6.A.R.Pawloski、Christian,P.F.Nealey、「Micromolar Concentrations of Base Quenchers Impact the Apparent Efficiency of Photogeneration in Chemically Amplified Resists、Journal of Vacuum Science and Technology、B20(5)、Sep./Oct.、pp.2162〜2168(2002)
7.T.H.Fedybyshyn、C.R.Szmanda、R.F.Blacksmith、W.E.Houck、及びJ.C.Root、「Relationship Between Resist Performance and Reaction Order in a Chemically Amplified Resist System、Journal of Vacuum Science and Technology、B11(6)、Nov./Dec.、pp.2798〜2806(1993)
8.F.A.Houle、W.D.Hinsberg、M.I.Sanchez、及びJ.A.Hoffnagle、「Influence of Resist Components on Image Blur in a Patterned Positive−tone Chemically Amplified Photoresist」、Journal of Vacuum Science and Technology、B20(3)、May/June、pp.924〜931、(2002)
9.Georg Pawlowski、「Acetal−based DUV Photoresists for Sub−Quarter Micron Lithography」、Semiconductor Fabtech、6th edition、pp.215〜222、(1996)
10.W.Spiess、S.Funato、Y.Kinoshita、Y.Nozaki、及びG.Pawlowski:「High Performance Positive DUV Photoresists AZO DX 1100P、AZO DX 1200P、AZO DX 1300P、AZO DX 2034P、AZO DX 2058P for Advanced Lithographic Applications」、Microelectronic Engineering、Vol.41/42、pp.339〜342(1998)
11.H.Fosshaug、A.Bajramovic、J.Karlsson、K.Xing、A.Rosenclahl、A.Dahlberg、C.Bjornberg、M.Bjuggren、及びT.Sandstrom、「Resist Process Optimization for a DUV Laser Pattern generator」、Proceedings of SPIE、Vol.5256、23rd Annual BACUS Symposium on Photomask Technology、Monterey、CA、USA、Sept.9〜12、2003
12.C.Jackson、P.Buck、S.Cohen、V.Garg、C.Howard、R.Kiefer、J.Manfredo、及びJames Tsou、「DUV Laser Lithography for Photomask Fabrication」、Proceedings of SPIE、Vol.5256、23rd Annual BACUS Symposium on Photomask Technology、Monterey、CA、USA、Sept.9〜12、2003
13.H.Fosshaug、A.Bajramovic、J.Karlsson、K.Xing、A.Rosendahl、A.Dahlberg、C.Bjornberg、T.Sandstrom、「DUV Lithography in Laser Pattern Generator Technology」、ARCH Interface’2003
14.T.Sandstrom、P.Askebjer、J.Sallander、R.Zerne、及びA.Karawajczyk、「Pattern Generation with SLM」、Proceedings of SPIE、Vol.4562、21st Annual BACUS Symposium on Photomask Technology、Monterey、CA、USA、Oct.3〜5、pp.38〜44(2001)
15.T.Sandstrom、及びN.Eriksson、「Resolution Extensions in the Sigma7000 Imaging Pattern Generator」、Proceedings of SPIE、Vol.4889、22nd Annual BACUS Symposium on Photomask Technology、Monterey、CA、USA、Oct.1〜4、pp.38〜44、(2002)
16.J.March、Advanced Organic Chemistry:Reactions,Mechanism and Structure、3rd Edition、John Wiley & Sons、1985、Chapter 8
17.T.Fujimori、S.Tan、T.Aoai、F.Nishiyama、T.Yamanaka、M.Momota、S.Kanna、Y.Kawabe、M.Yagihara、T.Kokubo、S.Malik、及びL.Ferreira、「Structural Design of a New Class of Acetal Polymer for DUV Resists」、Advances in Resist Technology and Processing XVII、Proceedings of SPIE、Vol.3999、pp.579〜590(2000)
18.L.Pain、C.Gourgon、K.Patterson、B.Scarfogliere、S.Tedesco、G.Fanget、B.Dal’Zotto、M.Ribeiro、T.Kusumoto、M.Suetsugu、及びR.Hanawa、「Resist Composition Effects on Ultimate Resolution of Negative Tone Chemically Amplified Resist」、Advances in Resist Technology and Processing XVIII、Proceedings of SPIE、Vol.4345、pp.251〜260(2001)
19.C.Mack、「Lithographic Effects of Acid Diffusion in Chemically Amplified Resists」、OCG Microlithography Seminar:Interface’95、October 29〜31、San Diego、California、1995
マスキング層である非透過層、及びレジスト層である放射感応層を含むコーティングされた基板を示す図である。 Sigma7300のシステム原理を示す図である。 この調査において使用する通常描画モードによる描画法を示す図である。 この調査において使用する逆描画モードによる代替の描画法を示す図である。 この調査において使用するインターレース描画モードによる代替の描画法を示す図である。 本発明によるワークピースにパターン形成する実施例の流れ図である。 4回の描画パスを使用した、4本の露光された線を示す図である。 露光後の上記4本の線を示す図である。 脱保護反応を示す概略図である。 DX1100P化学の脱保護反応を示す概略図である。 バルキーなアセタールのブロック基の使用を示す図である。 テスト・パターンを配置して、フィッティング調整した回転プロセスの特徴を示す図である。 2回のパス間のオーバーレイ誤差を示すグラフである。 別の描画方式を使用した、マスク上の5箇所における露光を示す図である。 6つの実験的組合せによる4回の描画を示す図である。 様々なパスを描画中に、50露光係数単位の意図的な擾乱を加えた場合を示す図である。 25%及び50%(250及び500露光係数単位)という、より高い擾乱線量の影響を示す図である。 マイナスの線量バイアスを判定するために行った第2組の試行の結果を示す図である。 正規の1000nmのフィーチャサイズにマイナスの線幅バイアスをかけた場合を示す図である。

Claims (21)

  1. エネルギー・ビーム及びマルチ・パス描画方式を使用して、前記エネルギー・ビームに感応する層をコーティングしたワークピース上にパターン形成されたフィーチャの限界寸法均一性を改善するためのリソグラフィ法であって、
    描画パスが、前記コーティングにほぼ同等に影響を及ぼすように、前記パスに対する個々の線量を決め、それによりフィーチャの限前記界寸法均一性を改善する工程と、
    前記マルチ・パス描画方式を使用し、前記描画パスにおいて前記個々の線量を加えながら、前記コーティングを露光させる工程と、
    前記コーティングを現像する工程と
    を含む方法。
  2. 前記フィーチャを空間光変調器によって作成する工程をさらに含む、請求項1に記載の方法。
  3. 前記エネルギー・ビームが、EUVからDUVまでの範囲のパルス状放射である、請求項1に記載の方法。
  4. 前記放射ビームの強度を偏向設置することが可能な、変調器及び偏向器の構成によって前記フィーチャを作成する工程をさらに含む、請求項1に記載の方法。
  5. 前記フィーチャを回折格子によって作成する工程をさらに含む、請求項1に記載の方法。
  6. 前記方法が、1回目の露光パスが露光閾値の半分未満の線量を有し、2回目の露光パスが前記露光閾値の半分を超える線量を有する2回の露光パスを含む、請求項1に記載の方法。
  7. 前記方法が、前記線量を直線的に増加させてパスをたどる3回以上の露光パスを含む、請求項1に記載の方法。
  8. 前記方法が、前記線量を指数関数的に増加させてパスをたどる3回以上の露光パスを含む、請求項1に記載の方法。
  9. 前記方法が、前記線量を対数的に増加させてパスをたどる3回以上の露光パスを含む、請求項1に記載の方法。
  10. 前記ワークピースの各部分に、次回の露光パスで露光させる前に、1回目の露光パスでパターン形成する、請求項1から9までのいずれか一項に記載の方法。
  11. 前記各部分は同方向に露光される、請求項10に記載の方法。
  12. 前記各部分は、交互方向に露光される、請求項10に記載の方法。
  13. 最後の露光の線量が、前記1回目の露光量より40%から60%までの範囲内で多い、請求項1から12までのいずれか一項に記載の方法。
  14. 最後の露光の線量が、前記1回目の露光量より45%から55%までの範囲内で多い、請求項1から12までのいずれか一項に記載の方法。
  15. 電磁放射に感応する前記コーティングが、化学増幅型レジスト(CAR)である、請求項1に記載の方法。
  16. 前記ワークピースがマスク基板である、請求項1に記載の方法。
  17. 前記ワークピースがウェハである、請求項1に記載の方法。
  18. 4回の描画パスが使用される、請求項13又は14に記載の方法。
  19. 前記ワークピースがマスク又はレチクルであり、前記コーティングを現像する工程の後、前記ワークピースにパターン形成する工程をさらに含む、請求項1による、マスク又はレチクルを作成する方法。
  20. 前記ワークピースがウェハであり、前記コーティングを現像する工程の後、前記ワークピースにパターン形成する工程をさらに含む、請求項1による、デバイス構造層を作成する方法。
  21. 第2のワークピース上に精密な構造を作成する請求項1による方法であって、
    請求項1による前記ワークピースがマスク又はレチクルであり、
    前記マスク又はレチクルを使用して前記第2のワークピース上に放射感応性材料からなる層を露光させる工程と、
    前記第2のワークピース上の前記露光層を使用して、前記第2のワークピース上のデバイス構造層にパターン形成する工程と
    を含む方法。
JP2006522529A 2003-08-04 2004-08-04 基板にパターン形成するための改善された方法 Pending JP2007501431A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/634,152 US7186486B2 (en) 2003-08-04 2003-08-04 Method to pattern a substrate
US55387404P 2004-03-17 2004-03-17
PCT/SE2004/001161 WO2005013007A1 (en) 2003-08-04 2004-08-04 Further method to pattern a substrate

Publications (2)

Publication Number Publication Date
JP2007501431A true JP2007501431A (ja) 2007-01-25
JP2007501431A5 JP2007501431A5 (ja) 2007-07-19

Family

ID=34119184

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006522529A Pending JP2007501431A (ja) 2003-08-04 2004-08-04 基板にパターン形成するための改善された方法

Country Status (6)

Country Link
US (2) US7186486B2 (ja)
EP (1) EP1652007B1 (ja)
JP (1) JP2007501431A (ja)
KR (1) KR20060129155A (ja)
DE (1) DE602004021392D1 (ja)
WO (1) WO2005013007A1 (ja)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006285243A (ja) * 2005-03-30 2006-10-19 Asml Netherlands Bv データフィルタ処理を利用したリソグラフィ装置及びデバイス製造法
WO2010064730A1 (en) * 2008-12-05 2010-06-10 Fujifilm Corporation Multi-beam exposure scanning method and apparatus, and method for manufacturing printing plate
WO2011122703A1 (en) * 2010-03-31 2011-10-06 Fujifilm Corporation Multibeam exposure scanning method and apparatus, and method of manufacturing printing plate
JP2011215274A (ja) * 2010-03-31 2011-10-27 Fujifilm Corp マルチビーム露光走査方法及び装置並びに印刷版の製造方法
JP2011215275A (ja) * 2010-03-31 2011-10-27 Fujifilm Corp マルチビーム露光走査方法及び装置並びに印刷版の製造方法
JP2013191901A (ja) * 2007-07-13 2013-09-26 Nikon Corp パターン形成方法及びパターン形成装置、並びにデバイス製造方法
WO2015112802A1 (en) * 2014-01-27 2015-07-30 Tokyo Electron Limited System and method for shifting critical dimensions of patterned films
WO2015127348A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist
US9291902B2 (en) 2010-03-05 2016-03-22 Mycronic AB Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
US9519227B2 (en) 2014-02-24 2016-12-13 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR)
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US9645391B2 (en) 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
US10096528B2 (en) 2016-05-13 2018-10-09 Tokyo Electron Limited Critical dimension control by use of a photo agent
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10551743B2 (en) 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
US11163236B2 (en) 2019-08-16 2021-11-02 Tokyo Electron Limited Method and process for stochastic driven detectivity healing
JP7471175B2 (ja) 2020-08-20 2024-04-19 株式会社オーク製作所 露光装置および露光方法

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
SE0104238D0 (sv) * 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate
US7101816B2 (en) * 2003-12-29 2006-09-05 Tokyo Electron Limited Methods for adaptive real time control of a thermal processing system
EP1810300A4 (en) * 2004-02-12 2010-06-09 Texas A & M Univ Sys ADVANCED OPTICS FOR LASER PROFILES SET QUICKLY IN ANALYTIC SPECTROMETRY
JP2007522671A (ja) * 2004-02-25 2007-08-09 マイクロニック レーザー システムズ アクチボラゲット 光マスクレスリソグラフィにおいてパターンを露光し、マスクをエミュレートする方法
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
DE102004022329B3 (de) * 2004-05-06 2005-12-29 Infineon Technologies Ag Verfahren zur dynamischen Dosisanpassung in einem lithographischen Projektionsapparat und Projektionsapparat
US7632625B2 (en) * 2004-05-25 2009-12-15 Roberts David H Method of pre-exposing relief image printing plate
JP4908756B2 (ja) * 2004-12-22 2012-04-04 ラピスセミコンダクタ株式会社 半導体装置の製造方法
US7718326B2 (en) * 2005-06-17 2010-05-18 Vincent E Stenger Seamless stitching of patterns formed by interference lithography
US7927779B2 (en) 2005-06-30 2011-04-19 Taiwan Semiconductor Manufacturing Companym, Ltd. Water mark defect prevention for immersion lithography
US8048589B2 (en) * 2005-07-30 2011-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Phase shift photomask performance assurance method
US8383322B2 (en) 2005-08-05 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Immersion lithography watermark reduction
US20070046954A1 (en) * 2005-08-24 2007-03-01 Asml Netherlands B.V. Method of verifying consistent measurement between a plurality of CD metrology tools
US7993808B2 (en) 2005-09-30 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. TARC material for immersion watermark reduction
KR100843890B1 (ko) * 2005-11-07 2008-07-03 주식회사 하이닉스반도체 리소그래피 공정의 시뮬레이션 방법
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
US7917244B2 (en) * 2007-03-14 2011-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for reducing critical dimension side-to-side tilting error
JP2009010079A (ja) * 2007-06-27 2009-01-15 Canon Inc 露光装置
US8088548B2 (en) 2007-10-23 2012-01-03 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions
US20090199152A1 (en) * 2008-02-06 2009-08-06 Micronic Laser Systems Ab Methods and apparatuses for reducing mura effects in generated patterns
US8077377B2 (en) * 2008-04-24 2011-12-13 Micronic Mydata AB Spatial light modulator with structured mirror surfaces
US8492288B2 (en) 2008-06-10 2013-07-23 Micron Technology, Inc. Methods of treating semiconductor substrates, methods of forming openings during semiconductor fabrication, and methods of removing particles from over semiconductor substrates
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8455176B2 (en) * 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
US8632948B2 (en) * 2009-09-30 2014-01-21 Az Electronic Materials Usa Corp. Positive-working photoimageable bottom antireflective coating
US20110086312A1 (en) * 2009-10-09 2011-04-14 Dammel Ralph R Positive-Working Photoimageable Bottom Antireflective Coating
WO2011104174A1 (en) * 2010-02-23 2011-09-01 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US9715995B1 (en) * 2010-07-30 2017-07-25 Kla-Tencor Corporation Apparatus and methods for electron beam lithography using array cathode
JP5662756B2 (ja) * 2010-10-08 2015-02-04 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び荷電粒子ビーム描画方法
US8703389B2 (en) 2011-06-25 2014-04-22 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
WO2012148606A2 (en) 2011-04-26 2012-11-01 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
US8614047B2 (en) 2011-08-26 2013-12-24 International Business Machines Corporation Photodecomposable bases and photoresist compositions
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
KR101633761B1 (ko) 2012-01-17 2016-06-27 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조 방법
WO2013158574A1 (en) * 2012-04-18 2013-10-24 D2S, Inc. Method and system for critical dimension uniformity using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
US8966409B2 (en) 2012-12-20 2015-02-24 Micron Technology, Inc. Methods of forming a mask and methods of correcting intra-field variation across a mask design used in photolithographic processing
KR102447144B1 (ko) * 2015-01-09 2022-09-26 삼성전자주식회사 포토 마스크 제조 방법, 포토레지스트 패턴 형성 방법 및 반도체 장치의 제조 방법
JP2016184605A (ja) * 2015-03-25 2016-10-20 株式会社ニューフレアテクノロジー 荷電粒子ビーム描画装置及び描画データ作成方法
US11687008B2 (en) * 2018-02-22 2023-06-27 Applied Materials, Inc. Method for automated critical dimension measurement on a substrate for display manufacturing, method of inspecting a large area substrate for display manufacturing, apparatus for inspecting a large area substrate for display manufacturing and method of operating thereof
TWI789405B (zh) * 2018-07-12 2023-01-11 聯華電子股份有限公司 光罩
US10761430B2 (en) * 2018-09-13 2020-09-01 Applied Materials, Inc. Method to enhance the resolution of maskless lithography while maintaining a high image contrast
US10545409B1 (en) 2019-05-30 2020-01-28 International Business Machines Corporation Dynamic adjustment of post exposure bake during lithography utilizing real-time feedback for wafer exposure delay

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997002949A1 (en) * 1995-07-13 1997-01-30 B & H Manufacturing Company, Inc. Applying a tactilely distinguishable marking on an article
JP2000349016A (ja) * 1999-06-07 2000-12-15 Sony Corp 描画方法、露光用マスク、露光用マスクの製造方法、並びに、半導体装置及びその製造方法
JP2001092104A (ja) * 1997-12-26 2001-04-06 Nikon Corp フォトマスクの製造方法、及びデバイスの製造方法
WO2003023488A1 (en) * 2001-09-12 2003-03-20 Micronic Laser Systems Ab Graphics engine for high precision lithography
WO2003052516A1 (en) * 2001-12-14 2003-06-26 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4879605A (en) 1988-02-29 1989-11-07 Ateq Corporation Rasterization system utilizing an overlay of bit-mapped low address resolution databases
US6348907B1 (en) 1989-08-22 2002-02-19 Lawson A. Wood Display apparatus with digital micromirror device
US5148157A (en) 1990-09-28 1992-09-15 Texas Instruments Incorporated Spatial light modulator with full complex light modulation capability
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
US5304441A (en) * 1992-12-31 1994-04-19 International Business Machines Corporation Method of optimizing exposure of photoresist by patterning as a function of thermal modeling
US5467146A (en) 1994-03-31 1995-11-14 Texas Instruments Incorporated Illumination control unit for display system with spatial light modulator
ATE349024T1 (de) 1994-08-04 2007-01-15 Texas Instruments Inc Anzeigevorrichtung
US5835256A (en) 1995-06-19 1998-11-10 Reflectivity, Inc. Reflective spatial light modulator with encapsulated micro-mechanical elements
US5621216A (en) * 1996-04-26 1997-04-15 International Business Machines Corporation Hardware/software implementation for multipass E-beam mask writing
US5774254A (en) 1997-06-26 1998-06-30 Xerox Corporation Fault tolerant light modulator display system
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6142641A (en) 1998-06-18 2000-11-07 Ultratech Stepper, Inc. Four-mirror extreme ultraviolet (EUV) lithography projection system
SE516914C2 (sv) 1999-09-09 2002-03-19 Micronic Laser Systems Ab Metoder och rastrerare för högpresterande mönstergenerering
AU2001255614A1 (en) 2000-06-01 2001-12-11 Applied Materials, Inc. High throughput multipass printing with lithographic quality
US7186486B2 (en) * 2003-08-04 2007-03-06 Micronic Laser Systems Ab Method to pattern a substrate

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1997002949A1 (en) * 1995-07-13 1997-01-30 B & H Manufacturing Company, Inc. Applying a tactilely distinguishable marking on an article
JP2001092104A (ja) * 1997-12-26 2001-04-06 Nikon Corp フォトマスクの製造方法、及びデバイスの製造方法
JP2000349016A (ja) * 1999-06-07 2000-12-15 Sony Corp 描画方法、露光用マスク、露光用マスクの製造方法、並びに、半導体装置及びその製造方法
WO2003023488A1 (en) * 2001-09-12 2003-03-20 Micronic Laser Systems Ab Graphics engine for high precision lithography
WO2003052516A1 (en) * 2001-12-14 2003-06-26 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece

Cited By (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009302549A (ja) * 2005-03-30 2009-12-24 Asml Netherlands Bv データフィルタ処理を利用したリソグラフィ装置及びデバイス製造法
JP2006285243A (ja) * 2005-03-30 2006-10-19 Asml Netherlands Bv データフィルタ処理を利用したリソグラフィ装置及びデバイス製造法
US9846368B2 (en) 2005-03-30 2017-12-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method utilizing data filtering
JP4563955B2 (ja) * 2005-03-30 2010-10-20 エーエスエムエル ネザーランズ ビー.ブイ. データフィルタ処理を利用したリソグラフィ装置及びデバイス製造法
JP2013191901A (ja) * 2007-07-13 2013-09-26 Nikon Corp パターン形成方法及びパターン形成装置、並びにデバイス製造方法
JP2010134292A (ja) * 2008-12-05 2010-06-17 Fujifilm Corp マルチビーム露光走査方法及び装置並びに印刷版の製造方法
CN102239449A (zh) * 2008-12-05 2011-11-09 富士胶片株式会社 多光束曝光扫描方法和设备,以及用于制造印刷版的方法
WO2010064730A1 (en) * 2008-12-05 2010-06-10 Fujifilm Corporation Multi-beam exposure scanning method and apparatus, and method for manufacturing printing plate
US9291902B2 (en) 2010-03-05 2016-03-22 Mycronic AB Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
JP2011215274A (ja) * 2010-03-31 2011-10-27 Fujifilm Corp マルチビーム露光走査方法及び装置並びに印刷版の製造方法
JP2011215275A (ja) * 2010-03-31 2011-10-27 Fujifilm Corp マルチビーム露光走査方法及び装置並びに印刷版の製造方法
WO2011122703A1 (en) * 2010-03-31 2011-10-06 Fujifilm Corporation Multibeam exposure scanning method and apparatus, and method of manufacturing printing plate
US9645391B2 (en) 2013-11-27 2017-05-09 Tokyo Electron Limited Substrate tuning system and method using optical projection
WO2015112802A1 (en) * 2014-01-27 2015-07-30 Tokyo Electron Limited System and method for shifting critical dimensions of patterned films
US9977339B2 (en) 2014-01-27 2018-05-22 Tokyo Electron Limited System and method for shifting critical dimensions of patterned films
US9618848B2 (en) 2014-02-24 2017-04-11 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US9519227B2 (en) 2014-02-24 2016-12-13 Tokyo Electron Limited Metrology for measurement of photosensitizer concentration within photo-sensitized chemically-amplified resist (PS-CAR)
WO2015127348A1 (en) * 2014-02-24 2015-08-27 Tokyo Electron Limited Mitigation of euv shot noise replicating into acid shot noise in photo-sensitized chemically-amplified resist
US10534266B2 (en) 2014-02-24 2020-01-14 Tokyo Electron Limited Methods and techniques to use with photosensitized chemically amplified resist chemicals and processes
US10020195B2 (en) 2014-02-25 2018-07-10 Tokyo Electron Limited Chemical amplification methods and techniques for developable bottom anti-reflective coatings and dyed implant resists
US10048594B2 (en) 2016-02-19 2018-08-14 Tokyo Electron Limited Photo-sensitized chemically amplified resist (PS-CAR) model calibration
TWI651623B (zh) * 2016-02-19 2019-02-21 東京威力科創股份有限公司 光敏化化學放大光阻之模型校正
US10429745B2 (en) 2016-02-19 2019-10-01 Osaka University Photo-sensitized chemically amplified resist (PS-CAR) simulation
US10096528B2 (en) 2016-05-13 2018-10-09 Tokyo Electron Limited Critical dimension control by use of a photo agent
US10522428B2 (en) 2016-05-13 2019-12-31 Tokyo Electron Limited Critical dimension control by use of a photo agent
US10551743B2 (en) 2016-05-13 2020-02-04 Tokyo Electron Limited Critical dimension control by use of photo-sensitized chemicals or photo-sensitized chemically amplified resist
US11163236B2 (en) 2019-08-16 2021-11-02 Tokyo Electron Limited Method and process for stochastic driven detectivity healing
JP7471175B2 (ja) 2020-08-20 2024-04-19 株式会社オーク製作所 露光装置および露光方法

Also Published As

Publication number Publication date
EP1652007B1 (en) 2009-06-03
WO2005013007A1 (en) 2005-02-10
KR20060129155A (ko) 2006-12-15
DE602004021392D1 (de) 2009-07-16
US20050032002A1 (en) 2005-02-10
US7150949B2 (en) 2006-12-19
US7186486B2 (en) 2007-03-06
EP1652007A1 (en) 2006-05-03
US20050053850A1 (en) 2005-03-10

Similar Documents

Publication Publication Date Title
JP2007501431A (ja) 基板にパターン形成するための改善された方法
Smith et al. Microlithography: science and technology
US7811746B2 (en) Method of patterning a positive tone resist layer overlaying a lithographic substrate
JP4036884B2 (ja) 基板露光方法
Pawloski et al. Characterization of line-edge roughness in photoresist using an image fading technique
KR102177192B1 (ko) 광 작용제의 사용에 의한 임계 치수 제어
US20050275820A1 (en) Method for evaluating a local flare, correction method for a mask pattern, manufacturing method for a semiconductor device and a computer program product
JP3981664B2 (ja) 検査方法およびデバイス製造方法
KR20190019212A (ko) 네거티브 톤 현상 가능한 포토 레지스트의 컴퓨터 모델링 및 시뮬레이션을 위한 개선된 방법
JP2003022968A (ja) リソグラフィ装置の較正方法、リソグラフィ装置の較正に使用するマスク、リソグラフィ装置、デバイス製造方法、該デバイス製造方法により製造されたデバイス
US7352451B2 (en) System method and structure for determining focus accuracy
JP2004266270A (ja) 微細パターン形成方法
US7368209B2 (en) Method for evaluating sensitivity of photoresist, method for preparation of photoresist and manufacturing method of semiconductor device
KR100935001B1 (ko) 리소그래피 디바이스 제조 방법, 리소그래피 셀, 및 컴퓨터프로그램 제품
US20050268804A1 (en) Lithographic method for small line printing
Erdmann et al. Lithography simulation: modeling techniques and selected applications
Brunner et al. A new long range proximity effect in chemically amplified photoresist processes: chemical flare
Pawloski et al. Comparative study of mask architectures for EUV lithography
Sundberg et al. Two complementary methods to characterize long range proximity effects due to develop loading
JP2003332201A (ja) 露光方法および露光装置
US20230108447A1 (en) Method for inspecting photosensitive composition and method for producing photosensitive composition
Severi Ultra-thin film characterization for high resolution patterning in extreme ultraviolet lithography (EUVL)
Fosshaug et al. Influence of writing strategy on CD control for the spatial light modulator-based Sigma7300 DUV laser pattern generator
Baylav Reduction of Line Edge Roughness (LER) in Interference-Like Large Field Lithography
Fuller et al. Photoresist processing for high-resolution DUV lithography at 257 nm

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070531

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070531

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100329

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100413

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100713

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100721

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100809

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101105

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110401