KR20190019212A - 네거티브 톤 현상 가능한 포토 레지스트의 컴퓨터 모델링 및 시뮬레이션을 위한 개선된 방법 - Google Patents

네거티브 톤 현상 가능한 포토 레지스트의 컴퓨터 모델링 및 시뮬레이션을 위한 개선된 방법 Download PDF

Info

Publication number
KR20190019212A
KR20190019212A KR1020197004153A KR20197004153A KR20190019212A KR 20190019212 A KR20190019212 A KR 20190019212A KR 1020197004153 A KR1020197004153 A KR 1020197004153A KR 20197004153 A KR20197004153 A KR 20197004153A KR 20190019212 A KR20190019212 A KR 20190019212A
Authority
KR
South Korea
Prior art keywords
developer
photoresist
resist
determining
mask
Prior art date
Application number
KR1020197004153A
Other languages
English (en)
Other versions
KR102243006B1 (ko
Inventor
존 제이 비아포
마크 디 스미스
트레이 그레이브스 (존) 에스
데이빗 에이 블랭큰쉽
프렛 알레산드로 바글리오
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20190019212A publication Critical patent/KR20190019212A/ko
Application granted granted Critical
Publication of KR102243006B1 publication Critical patent/KR102243006B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70125Use of illumination settings tailored to particular mask patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • G03F7/70441Optical proximity correction [OPC]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7085Detection arrangement, e.g. detectors of apparatus alignment possibly mounted on wafers, exposure dose, photo-cleaning flux, stray light, thermal load
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Abstract

일부 실시예들에서, 방법은 포토 레지스트의 현상 공정을 개선하는 단계를 포함할 수 있다. 상기 방법은 포토 레지스트의 네거티브 톤 현상 공정을 시뮬레이션하는 단계를 포함할 수 있다. 상기 방법은 용해성 포토 레지스트 표면과 현상액의 반응을 결정하는 단계를 포함할 수 있다. 현상액의 반응을 결정하는 단계는, 용해 제한 체제의 현상을 포함하는 용해성 레지스트의 레지스트 용해 속도를 산출하기 위해 블로킹된 폴리머 농도에 대한 반응 순서의 멱에서 반응 속도 상수를 적용하는 단계를 포함할 수 있다. 상기 방법은 노광되어 부분적으로 용해성인 레지스트로의 현상액의 플럭스를 결정하는 단계를 포함할 수 있다. 현상액의 플럭스를 결정하는 단계는, 확장 제어 체제의 현상을 포함하는 불용성 레지스트의 확장 속도를 위해 현상액 농도의 기울기에 블로킹된 폴리머 농도에 의존하는 현상액의 벡터 값의 확산 계수를 적용하는 단계를 포함할 수 있다. 상기 방법은 풀칩 상의 조명 소스 및 마스크를 최적화하는 단계를 포함할 수 있다.

Description

네거티브 톤 현상 가능한 포토 레지스트의 컴퓨터 모델링 및 시뮬레이션을 위한 개선된 방법
우선권 주장
본 출원은 2016년 7월 15일자에 출원된 미국 가특허 출원 제62/363,041호의 우선권을 주장하며, 이 가특허 출원은 참조에 의해 본 명세서에 포함된다.
발명의 분야
본 발명개시는 일반적으로 화학 증폭형 포토 레지스트의 리소그래피 성능을 시뮬레이션하는 방법에 관한 것이다. 보다 구체적으로, 본 발명개시는 일반적으로 시뮬레이션 정확도를 개선하는 것을 포함하는 네거티브 톤 현상 공정의 시뮬레이션 방법에 관한 것으로, 개선된 정확도는 시뮬레이션된 예측과 실험 데이터 간의 일치를 측정하는 수치적 비용 함수의 감소로 정의될 수 있다.
마이크로 리소그래피는 집적 회로("IC")의 제조 공정에서 중요한 단계이며, 여기서 기판상에 형성된 패턴은 마이크로 프로세서, 메모리 칩, 평판 디스플레이, 미세 전자 기계 시스템(micro-electro mechanical system; MEMs) 및 기타 디바이스와 같은 IC의 기능 요소("인쇄된 피처")를 정의한다. IC 제조 공정이 진행됨에 따라, 기능 요소의 치수는 지속적으로 감소하면서 디바이스 당 요소 수는 지속적으로 증가하여 일반적으로 "무어의 법칙(Moore's law)"으로 트렌드가 기술된다. 현재 기술 상태에서, 디바이스는 원자외선 방사선을 사용하여 제조되어 방사선의 파장(주로 248nm, 193nm 및 13.5nm)보다 훨씬 작은, 100nm보다 상당히 아래의 치수를 갖는 개별 기능 요소를 생성한다. 마이크로 리소그래피 장치의 고전적인 해상도 제한보다 작은 피처를 갖는 공정은 다음 공식 의해 로우 k1 리소그래피로 알려져 있다.
Figure pct00001
λ는 방사선의 파장을 나타내고, NA는 리소그래피 장치의 개구수를 나타내고, CD는 인쇄된 피처의 임계 치수 또는 최소 폭을 나타내며, k1은 해상도 향상 계수이다. k1이 작을수록, 인쇄된 피처의 타겟 치수가 작아지고 결과적으로 대량 생산(high volume manufacturing; HVM)에서 공정을 제어하기가 더 어려워진다. 인쇄된 피처가 타겟 패턴과 일치하는 것을 보장하기 위해, 각각의 리소그래피 공정 단계에서 발생하는 물리 화학적 이벤트를 설명하는 정교한 수치 모델이 광범위한 전산 자원을 통해 실행되고 그 결과를 사용하여 공정의 성능과 포토 레지스트의 인쇄된 피처의 충실도를 예측한다. 리소그래피 공정은 마이크로 리소그래피로 알려져 있으며, 마이크로 리소그래피를 시뮬레이션하는 데 사용되는 컴퓨터 방법은 광학 리소그래피 시뮬레이션 방법 또는 전산 리소그래피(computational lithography)로 알려져 있다.
마이크로 리소그래피 기술은 일반적으로 다음 단계를 포함한다:
(1) 기판, 일반적으로 실리콘 웨이퍼를 포토 레지스트로 코팅하는 단계. 포토 레지스트("레지스트")는 IC 제조에 사용되는 방사선 민감성 물질이다. 포토 레지스트는 조사("노광") 및 후속 공정 단계("PEB" 및 "현상") 후에 기판상에 3차원 릴리프 이미지를 형성한다.
(2) 레지스트 코팅된 기판의 타겟 영역 상에 포토 마스크("마스크")를 통해 방사선을 투영하는 리소그래피 장치, 일반적으로 축소 카메라("스캐너")를 사용하여 포토 레지스트를 조사하는 단계. 마스크는 포토 레지스트에 인쇄될 패턴의 훨씬 더 큰 이미지를 포함한다. 마스크 이미지는 유리 기판상에 에칭되고, 방사선에 대해 교대로 불투명하고 투명한 영역을 포함한다. 스캐너 광학 장치는 마스크의 이미지 크기를 마스크의 원래 크기의 약 1/4로 줄이고 축소된 이미지를 포토 레지스트에 투영한다.
(3) 완료되면, 포토 레지스트의 조사된 부분("포지티브 톤 현상 공정") 또는 포토 레지스트의 조사되지 않은 부분("네거티브 톤 현상 공정") 중 어느 하나를 제거하는 현상 공정에 의해 포토 레지스트에 3차원 이미지를 형성하는 단계.
레지스트의 조사된 부분이 현상 단계 동안 제거되면, 포토 레지스트는 포지티브 톤 현상 가능한 레지스트("PTD 레지스트")로 알려지고; 반대로, 레지스트의 비노광된 부분이 현상 중에 제거되면, 포토 레지스트는 네거티브 톤 현상 가능한 레지스트("NTD 레지스트")로 알려진다.
기판은 예를 들어 이온 주입 단계, 에칭 단계 등을 사용하여 추가 처리될 것이다. 포토 레지스트 이미지는 이러한 후속 처리 단계들 중 일부로부터 기판상의 영역을 보호하는 데 사용된다. 이러한 방식으로, IC 디바이스는 많은 상이한 상호 연결된 층들을 사용하여 층별로 구성될 수 있다.
포토 레지스트는 레지스트 내부의 마스크의 투영되고 축소된 강력한 이미지 또는 에너지의 공간 분포를 현상액에서의 용해도의 공간 분포로 전환함으로써 작동한다. 현대의 마이크로 리소그래피 공정은 248nm, 193nm 및 13.5nm 파장의 원자외선 방사선에 가장 민감한 화학 증폭형 레지스트(chemically-amplified resist; CAR)에 의존한다. 최신의 포토 레지스트 공정은 노광, 노광 후 베이킹 및 현상의 세 가지 주요 단계로 구성된다.
노광 동안, 레지스트 내의 방사선 민감성 성분("PAG" 또는 "광산 발생제(photo-acid generator)")은 조사에 대한 반응으로 포토 레지스트 막 내부에 잠상을 형성한다. 포토 레지스트 노광의 동역학은 주로 입사 방사선을 흡수하는 레지스트의 능력과 이 흡수에 반응하여 광분해 생성물이 생성되는 속도에 따라 달라진다. 248nm, 193nm 또는 13.5nm의 입사 방사선에 노광되면, CAR에 의해 생성된 광분해 생성물은 매우 산성이다. 따라서 조사에 의해 레지스트 내에 생성된 화학적 잠상은 주로 산으로 구성된다. CAR에서의 산 발생은 먼저 빛의 형태로 에너지 흡수를 필요로 한다. 광화학 반응의 개시는 빛의 흡수에 의해 제공되고, PAG 흡수 분자의 전자적으로 여기된 상태로 이어진다. 전자적으로 여기된 분자가 접근할 수 있는 열역학적으로 유리한 생성물은, 여기된 분자가 흡수의 결과로 과도한 에너지를 가지고 있기 때문에, 기저 상태의 분자가 접근할 수 있는 것보다 크다. 노광 단계의 연대기는 세 가지 단계: 광자 흡수 및 여기 상태의 형성, 1차 또는 직접 광 화학 공정, 및 1차 공정 동안 생성된 중간물로부터 발생하는 2차 또는 간접 공정으로 나뉠 수 있다.
Figure pct00002
PAG에 의한 광자 흡수 및 여기 상태의 형성
Figure pct00003
직접 광 화학 공정: 전자적으로 여기된 상태의 PAG*가 산으로 전환될 확률은 광분해 양자 효율
Figure pct00004
과 동일하다.
Figure pct00005
간접 광 화학 공정: PAG*가 기저 상태 PAG로 완화될 확률. 화학 방사선(actinic radiation)에 노광될 때 PAG 분해의 평균 속도는 미분 방정식으로 표현될 수 있다. 전환 속도는 광도(light intensity) 및 조사 선량률 상수(exposure rate constant) C(㎠/mJ)에 비례한다.
Figure pct00006
여기서, [PAG]는 광산 발생제의 농도를 나타내고, t는 시간을 나타내며, I는 광도를 나타낸다. 노광 후 산 농도 [H]는 방정식의 양측을 적분하여 계산될 수 있다.
Figure pct00007
광도 I로 이미지를 사용하여 레지스트를 노광하면 산 잠상 [H]이 생성된다. 그런 다음, 노광 후 베이킹("post-exposure bake; PEB") 단계는 화학 반응을 열적으로 유도하는 데 사용되며, 완료되면 레지스트의 용해도가 변한다. 현대 CAR에서, 이 반응은 포지티브 톤 현상 가능한 레지스트(PTD) 또는 네거티브 톤 현상 가능한 레지스트(NTD) 중 어느 하나의 폴리머 수지의 디블로킹(de-blocking)을 초래한다. 폴리머를 디블로킹하여 기본 현상액에서의 용해도를 변경하는 개념은 Willson, Ito 및 Frechet가 처음 제안했다. 폴리 히드록시 스티렌(polyhydroxystyrene; PHS)과 같은 베이스 폴리머 수지가 사용된다. PHS는 포지티브 톤 현상액으로 사용되는 수용성 염기 현상액에는 매우 용해성이거나, 네거티브 톤 현상액으로 사용되는 비극성 용매에는 매우 불용성이다. 이것은 PHS에 수용성 염기 용액에서의 높은 용해도 또는 비극성 용매 현상액에서의 불용해성을 제공하는 카르복시산기(COOH)이다. 예를 들어, t-부톡시카르보닐기(t-BOC)로 이들 부위를 '블로킹(blocking)'함으로써, 수용성 염기 현상액에서의 PHS의 용해도는 억제될 수 있거나, 비극성 용매 현상액에서의 PHS의 용해도는 촉진될 수 있다. 노광 단계에 의해 생성된 강산 및 PEB 단계 동안 가해지는 열이 있을 때에는, t-BOC 블로킹된 폴리머는 산분해되어 카르복시산기를 생성할 것이다.
화학 증폭형 레지스트(CAR)의 결정적인 특징은, 산이 이러한 반응에 의해 소비되지 않고 [H]가 거의 일정하게 유지되도록, 반응이 노광으로 발생된 산에 의해 촉진된다는 것이다. 사실상 1개의 산성 분자의 생성을 초래하는 각각의 노광 이벤트는 PEB 동안 용해도를 변화시키는 많은 화학적 이벤트를 일으킬 수 있다. 노광의 영향은 이 화학 반응의 촉매 성질에 의해 증폭된다고 한다. 디블로킹 반응은 부분 미분 반응 확산 방정식으로 표현될 수 있다.
Figure pct00008
여기서, [M]은 폴리머 수지상의 블로킹된 또는 미반응 부위의 농도를 나타내고, [H]는 노광 공정에 의해 생성된 산의 농도를 나타내고, D는 산의 확산 계수이고, kamp는 증폭 반응 속도 상수이며, n은 반응 순서이다.
투영 이미지에서 더 큰 광도, 더 긴 노광 시간 또는 더 큰 C 파라미터로 인해 산 농도 [H]가 증가함에 따라, [M]이 감소한다는 것을 유념하는 것이 중요하다. PTD 공정에서, [M]의 값이 낮을수록 수용성 염기 현상액에서의 용해도가 높아지며, 최종 릴리프 이미지를 형성하는 레지스트의 비노광된 영역이 된다. 따라서, [M]의 농도는 PTD 공정에서 용해 억제제(dissolution inhibitor)로서 작용한다. NTD 공정에서, [M]의 값이 낮을수록 비극성 유기 용매 현상액에서의 용해도가 낮아지며, 최종 릴리프 이미지를 형성하는 레지스트의 노광된 영역이 된다. 따라서, [M]의 농도는 NTD 공정에서 용해 촉진제로서 작용한다.
상술한 바와 같이, 레지스트는 에너지의 공간 분포를 용해도의 공간 분포로 바꾸도록 설계된다. 현상 공정 동안, 노광 및 노광 후 베이킹된 포토 레지스트 이미지를 포함하는 기판은 액체 현상액에 침지된다. 현상액의 성질은 레지스트 공정의 현상 톤에 의존한다. 포지티브 톤 현상 공정의 경우, 현상액은 수용성 염기로 이루어지며, 조사된(노광된) 레지스트의 영역은 용해성이다. [M]의 값이 낮을수록 수용성 염기 현상액에서의 용해도가 높아지며, 최종 릴리프 이미지를 형성하는 레지스트의 비노광된 영역이 된다. 따라서, [M]의 농도는 PTD 공정에서 용해 억제제로서 작용한다. 네거티브 톤 현상 레지스트 공정(NTD 포토 레지스트)의 경우, 현상액은 비극성 유기 용매로 이루어지며, 조사되지 않은 레지스트의 영역은 용해성이다. NTD 공정에서, [M]의 값이 낮을수록 비극성 유기 용매 현상액에서의 용해도가 낮아지며, 최종 릴리프 이미지를 형성하는 레지스트의 노광된 영역이 된다. 따라서, [M]의 농도는 NTD 공정에서 용해 촉진제로서 작용한다. 노광 및 노광 후 베이킹 단계는 화학적 농도를 갖는 잠상을 생성하지만, 용해 공정이 밝은 부분과 어두운 부분을 식별하고 레지스트의 최종 피처의 치수를 제어하는 레지스트 능력에 가장 큰 영향을 준다.
일부 실시예들에서, 방법은 포토 레지스트의 현상 공정을 시뮬레이션하는 단계를 포함할 수 있다. 상기 방법은 포토 레지스트의 네거티브 톤 현상 공정을 시뮬레이션하는 단계를 포함할 수 있다. 상기 방법은 용해성 포토 레지스트 표면과 현상액의 반응을 결정하는 단계를 포함할 수 있다. 현상액의 반응을 결정하는 단계는, 용해 제한 체제의 현상을 포함하는 용해성 레지스트의 레지스트 용해 속도를 산출하기 위해 블로킹된 폴리머 농도에 대한 반응 순서의 멱(power)에서 반응 속도 상수를 적용하는 단계를 포함할 수 있다. 상기 방법은 노광되어 부분적으로 용해성인 레지스트로의 현상액의 플럭스를 결정하는 단계를 포함할 수 있다. 현상액의 플럭스를 결정하는 단계는, 확장 제어 체제의 현상을 포함하는 불용성 레지스트의 확장 속도를 위해 현상액 농도의 기울기에 블로킹된 폴리머 농도에 의존하는 현상액의 벡터 값의 확산 계수를 적용하는 단계를 포함할 수 있다. 일부 실시예들에서, 상기 방법은 풀칩을 포함하는 영역에서 조명 소스 및 마스크의 최적화를 포함할 수 있다. 일부 실시예들에서, 상기 방법은 소스 및 마스크의 풀칩 최적화를 사용하여 집적 회로를 형성하는 단계를 포함할 수 있다.
일부 실시예들에서, 포토 레지스트의 현상 공정은 네거티브 톤 현상 공정을 포함한다. 일부 실시예들에서, 포토 레지스트의 현상 공정은 포지티브 톤 현상 공정을 포함한다.
일부 실시예들에서, 포토 레지스트의 현상 공정은 248nm (KrF), 193nm (ArF) 또는 13.5nm (EUV) 리소그래피에 사용되는 포토 레지스트를 포함한다.
일부 실시예들에서, 용해성 레지스트의 용해 속도(RD)를 산출하기 위해 블로킹된 폴리머 농도(m)에 대한 반응 순서(n)의 멱에서 반응 속도 상수(kR)를 적용하는 단계는
Figure pct00009
와 같다.
일부 실시예들에서, 노광되어 부분적으로 용해성인 레지스트로의 현상액의 플럭스 (jS(r,t))를 결정하는 단계는,
Figure pct00010
이 되도록 블로킹된 폴리머 농도(m)에 의존하는 현상액의 벡터 값 확산 계수(DS)를 점 r 및 시간 t에서의 현상액 농도의 기울기(▽S)에 적용하는 것에 의해 결정된다.
일부 실시예들에서, 용해 제어 체제는 포토 레지스트 표면이 현상액에 적어도 부분적으로 용해성일 때 적용된다. 일부 실시예들에서, 확장 제어 체제는 포토 레지스트 표면이 현상액에 실질적으로 불용성일 때 적용된다. 확장 제어 체제는 포토 레지스트 표면이 현상액에 실질적으로 불용성일 때, 현상액이 계속해서 포토 레지스트에 흡수되어 포토 레지스트의 부피를 증가시키도록 적용될 수 있다. 용해 제어 체제는 겔 포인트 용량(gel point dose)에서 확장 제어 체제로 전환될 수 있다.
일부 실시예들에서, 포토 레지스트의 현상 공정을 시뮬레이션하는 방법은 포토 레지스트의 현상 공정을 확률적으로 시뮬레이션하는 단계를 포함한다.
일부 실시예들에서, 상기 방법은 마스크의 풀칩 광학 근접을 보정하는 단계를 포함할 수 있다. 상기 방법은 풀칩 상에 마스크 서브 해상도 보조 피처의 배치 및 검증을 포함할 수 있다. 상기 방법은 마스크 서브 해상도 보조 피처를 수리하는 단계를 포함할 수 있다. 상기 방법은 풀칩 마스크를 검사하는 단계를 포함할 수 있다. 상기 방법은 마스크 핫 스폿을 식별, 진단 및/또는 수리하는 단계를 포함할 수 있다. 상기 방법은 풀칩 소스 마스크를 최적화하는 단계를 포함할 수 있다.
본 발명의 장점은 바람직한 실시예들에 대한 다음의 상세한 설명의 이점과 첨부된 도면을 참조하여 당업자에게 명백해질 수 있다.
도 1은 포토 레지스트의 현상 공정을 개선하는 방법의 흐름도를 도시한다.
도 2는 네거티브 톤 현상을 시뮬레이션하기 위한 개선된 접근법 대 고전적인 접근법을 사용하여 예측된 리소그래피 결과 ADI를 도시한다. 개선된 방법은 고전적인 방법보다 특히 초점 심도와 관련하여 훨씬 더 큰 공정 허용도(process latitude)를 예측하는 것으로 나타났다.
본 발명은 다양한 변형들 및 대안적인 형태들이 가능하지만, 도면들에서는 본 발명의 특정한 실시예들을 예시로서 도시하며, 본 명세서에서는 이를 보다 자세하게 설명할 수 있다. 도면들은 실척도가 아닐 수 있다. 그러나 도면 및 상세한 설명은 본 발명을 개시된 특정 형태로 한정하려는 것이 아니라, 반대로 첨부된 청구 범위에 의해 정의된 바와 같이 본 발명의 사상 및 범위 내에 있는 모든 변형, 등가물 및 대안을 포함하기 위한 것임을 이해해야 한다.
본 명세서에 사용된 표제는 오직 조직적 목적만을 위한 것으로 설명의 범위를 한정하기 위한 것이 아니다. 본 출원 전반에 걸쳐 사용된 바와 같이, "~ 일 수 있다"라는 단어는 의무적인 의미(즉, 의미가 있어야 함)라기보다는 관대한 의미(즉, 잠재적 가능성을 갖는 의미)로 사용된다. "포함하다" 및 "포함하는"이라는 단어는 개방형 관계를 나타내므로, 포함하지만 이에 한정되지 않는다는 것을 의미한다. 마찬가지로, "가지고 있다" 및 "가지고 있는"이라는 단어는 또한 개방형 관계를 나타내므로, 가지고 있지만 이에 한정되지 않는다는 것을 의미한다. 본 명세서 사용된 "제 1", "제 2", "제 3" 등의 용어는 앞에 나오는 명사의 레이블로 사용되며, 그러한 순서가 명시적으로 달리 나타나지 않는 한 모든 유형의 순서(예를 들어, 공간적, 시간적, 논리적 등)를 의미하지는 않는다. 예를 들어, "모듈 기판에 전기적으로 연결된 제 3 다이"는 달리 명시되지 않는 한 제 3 다이보다 먼저 "모듈 기판에 전기적으로 연결된 제 4 다이"가 연결되는 시나리오를 배제하지 않는다. 마찬가지로, "제 2" 피처는 달리 명시되지 않는 한 "제 1" 피처를 "제 2" 피처보다 먼저 구현해야 할 필요가 없다.
다양한 구성 요소는 작업 또는 작업들을 수행하도록 "구성된" 것으로 설명될 수 있다. 이러한 맥락에서, "구성된"은 일반적으로 동작 중에 작업 또는 작업들을 수행하는 "구조를 가지고 있는"을 의미하는 광범위한 설명이다. 이와 같이, 구성 요소는 구성 요소가 현재 해당 작업을 수행하고 있지 않은 경우에도 작업을 수행하도록 구성될 수 있다(예를 들어, 두 개의 모듈이 연결되어 있지 않은 경우에도 한 세트의 전기 전도체가 하나의 모듈을 다른 모듈에 전기적으로 연결하도록 구성될 수 있다). 일부 맥락에서, "구성된"은 일반적으로 동작 중에 작업 또는 작업들을 수행하는 "회로를 가지고 있는"을 의미하는 구조의 광범위한 설명일 수 있다. 이와 같이, 구성 요소가 현재 켜져 있지 않은 경우에도, 구성 요소는 작업을 수행하도록 구성될 수 있다. 일반적으로, "구성된"에 대응하는 구조를 형성하는 회로는 하드웨어 회로를 포함할 수 있다.
다양한 구성 요소는 설명의 편의를 위해 작업 또는 작업들을 수행하는 것으로 설명될 수 있다. 이러한 설명은 "구성된"이라는 문구를 포함하는 것으로 해석되어야 한다. 하나 이상의 작업을 수행하도록 구성된 구성 요소를 나열하는 것은 명시적으로 35 U.S.C.§112 단락(f), 해당 구성 요소에 대한 해석을 호출하지 않는 것이다.
본 발명개시의 범위는 본 명세서에서 언급된 문제점 중 일부 또는 전부를 완화시키는지 여부와 관계없이, 본 명세서에 개시된 임의의 특징 또는 특징들의 조합(명시적 또는 암시적) 또는 그 일반화를 포함한다. 따라서, 새로운 청구 범위는 이러한 특징들의 조합에 대해 본 출원 (또는 그 우선권을 주장하는 출원) 추진 동안 공식화될 수 있다. 특히, 첨부된 청구 범위를 참조하여, 종속항의 특징은 독립항의 특징과 결합될 수 있고, 각각의 독립항의 특징은 단지 첨부된 청구 범위에 열거된 특정 조합뿐만 아니라 임의의 적절한 방식으로 결합될 수 있다.
본 발명은 특정 디바이스 또는 생물학적 시스템으로 한정되지 않으며, 당연히 다양할 수 있음을 이해해야 한다. 또한, 본 명세서에서 사용된 용어는 단지 특정 실시예들을 기술하기 위한 것이며, 한정하려는 것이 아님을 이해해야 한다. 본 명세서 및 청구 범위에서 사용된 바와 같이, 단수 형태는 내용이 명확하게 달리 지시하지 않는 한 단수 및 복수 대상을 포함한다. 따라서, 예를 들어, "링커"에 대한 언급은 하나 이상의 링커를 포함한다.
정의
달리 정의되지 않는 한, 본 명세서에 사용된 모든 기술적 및 과학적 용어는 당업자에 의해 일반적으로 이해되는 것과 동일한 의미를 갖는다.
본 명세서에서 사용된 바와 같이, "연결된"이라는 용어는 일반적으로 함께 결합되거나 연접될 수 있는 부분을 지칭한다.
본 명세서에서 사용된 바와 같이, "결합된"이라는 용어는 일반적으로 하나 이상의 중간 개재 부재를 갖거나 갖지 않고, 서로 작동 가능하게 사용될 수 있거나, 함께 결합되거나 연접될 수 있는 부분을 지칭한다.
본 명세서에서 사용된 바와 같이, "직접적으로"라는 용어는 일반적으로 또 다른 구조와 물리적으로 접촉하는 하나의 구조를 지칭하며, 또는 절차와 관련하여 사용될 때 하나의 공정이 중간 단계 또는 구성 요소의 개입 없이 또 다른 공정 또는 구조에 영향을 미침을 의미한다.
화학 증폭형 포토 레지스트에서 네거티브 및 포지티브 톤 현상 개선:
대부분 Mack에 의해 유도된 레지스트 용해 시뮬레이션을 위한 고전적인 방법은 PTD 레지스트에 적용되어 일반적으로 매우 양호한 결과를 얻었고, 최근에는 NTD 레지스트에 적용되어 훨씬 열악한 결과를 얻었다. NTD 레지스트 용해 공정의 시뮬레이션을 위한 고전적인 방법의 최근 사용은 일반적으로 "역 Mack" 방법으로 불린다.
PTD 레지스트 공정에 적용되는 고전적인 방법에서는 3단계가 고려된다.
1. 포토 레지스트 표면으로의 현상액의 확산
2. 레지스트 표면에서 포토 레지스트와 현상액의 반응
3. 용해된 포토 레지스트의 현상액으로의 확산
제 1 단계인 레지스트 표면으로의 현상액의 확산 속도는 다음과 같이 기술된다.
Figure pct00011
여기서, rD는 레지스트 표면으로의 현상액의 확산 속도이고, S는 레지스트 표면에서 수용성 현상액(일반적으로 수산화테트라메틸암모늄(tetramethylammonium hydroxide; TMAH))에서의 활성 염기 분자의 농도이며, kd는 속도 상수이다. 위에서 설명된 바와 같이, PTD 레지스트 공정에서, 수지 폴리머상의 블로킹된 부위의 높은 농도 [M]는 용해 억제제로서 작용하고, 노광된 영역의 n 억제제 부위는 수지의 분자가 완전히 용해되도록 제거되어야 하며, 완전히 용해되어야 한다는 의미에서, 레지스트 수지의 분자는 현상액의 분자에 의해 둘러싸여져야 한다.
제 2 단계인 레지스트 표면에서 레지스트와 현상액의 반응은 다음과 같이 기술된다.
Figure pct00012
여기서, rR은 레지스트 표면에서 레지스트와 현상액의 반응 속도이고, S는 레지스트 표면에서의 현상액 농도이고, kr은 반응 속도 상수이고, M0는 억제제의 초기 농도이며, n은 반응 순서이다.
제 3 단계인 용해된 레지스트의 현상액으로의 확산은 이 단계가 무시될 수 있을 정도로 충분히 빠르게 발생한다. 속도 방정식은 연속적으로 발생하고, 단계 2는 단계 1을 따르고, 두 개의 단계는 PTD 레지스트에 대한 전체 용해 속도 RPTD는 다음과 같이 되도록 정상 상태가 될 것이다.
Figure pct00013
두 개의 속도 방정식을 같게 함으로써 S의 소거가 가능하고 PTD 용해 속도 RPTD가 다음과 같이 기록될 수 있다.
Figure pct00014
여기서, kR = kr/kd이다. m = M/M0으로 하면, 여기서, m은 상대 용해 억제제 농도이고, RPTD에 대한 방정식은 다음과 같다.
Figure pct00015
여기서, 속도는 (1 - m)에 비례하며, (1 - m)은 폴리머 디블로킹의 정도를 나타낸다.
고전적인 이론이 최근에 PTD 용해 속도 방정식에 대한 아주 작은 변화만을 갖는 현대의 NTD 레지스트 시스템에 적용되었다. '역 Mack 방법'이라고 불리고, m은 단순히 용해 촉진제 역할을 하며, 다음과 같이 된다.
Figure pct00016
여기서 네거티브 톤 용해 속도 RNTD는 m에 비례하며, m은 폴리머 블로킹의 정도를 나타낸다.
화학 증폭형 포토 레지스트에서 네거티브 및 포지티브 톤 현상을 시뮬레이션하는 개선된 방법:
NTD 시스템에서는 m 값이 높을수록 용해 속도가 빠르지만, m 값이 낮을수록(광도가 큰 경우) 용해 속도가 느려진다. 광도 또는 보다 적절하게는 노광량이 증가하면, 겔 포인트 용량(gel point dose)에 도달할 때까지 NTD 용해 속도는 느려진다. 겔 포인트 용량에서, 레지스트 막은 부분적으로 불용성이 된다. 겔 포인트 용량 이상의 용량에서, 레지스트의 많은 부분은 불용성이지만, 불용성 레지스트는 현상액을 계속 이용할 수 있고, 이는 부분적으로 불용성인 레지스트로의 현상액의 확산 효과에 의해 순전히 구동된다. 현상액이 불용성 레지스트로 확산되는 속도는 m의 국부 값에 비례하며, 따라서 노광량 및 국부 산 농도에 비례한다.
NTD 공정에 적용될 때, 위에서 설명된 바와 같은 고전적인 방법은 불용성 레지스트로의 현상액의 지속적인 확산에 의해 생성되는 리소그래피 효과를 설명하지 않는다. NTD 공정의 계산적 리소그래피 모델에서 적어도 이러한 효과를 설명하지 못하면 모델링 정확도가 심하게 저하되어 고전적인 방법은 NTD 레지스트 공정의 시뮬레이션에 부적합하게 된다.
일부 실시예들에서, NTD의 시뮬레이션을 위한 방법은, 고려되는 두 가지 공정들을 포함할 수 있다.
1. 레지스트 표면에서 포토 레지스트와 현상액의 반응
2. 노광되어 부분적으로 불용성인 레지스트로의 현상액의 플럭스
일부 실시예에서, 방법은 예를 들어 포토 레지스트의 현상 공정을 시뮬레이션하는 단계를 통해, 포토 레지스트의 현상 공정을 개선하는 단계를 포함할 수 있다. 방법은 포토 레지스트의 네거티브 톤 현상 공정을 시뮬레이션하는 단계를 포함할 수 있다. 방법은 용해성 포토 레지스트 표면과 현상액의 반응을 결정하는 단계를 포함할 수 있다. 방법은 노광되어 부분적으로 용해성인 레지스트로의 현상액의 플럭스를 결정하는 단계를 포함할 수 있다. 일부 실시예들에서, 방법은 포지티브 톤 현상 공정을 포함하는 포토 레지스트의 현상 공정을 포함할 수 있다.
일부 실시예들에서, 포토 레지스트의 현상 공정은 상이한 파장 리소그래피에 사용되는 포토 레지스트를 포함한다. 일부 실시예에서, 포토 레지스트의 현상 공정은 248nm (KrF), 193nm (ArF) 및/또는 13.5nm (EUV) 리소그래피에 사용되는 포토 레지스트를 포함한다.
일부 실시예들에서, 레지스트 표면에서 레지스트와 현상액의 반응은 상기 고전적인 방법에서 설명된 바와 같다.
Figure pct00017
여기서, RNTD는 레지스트 표면에서 레지스트와 현상액의 반응 속도이고 용해성 레지스트의 용해 속도이고, kR은 반응 속도 상수이고, m은 NTD 용해 촉진제의 정규화된 농도로서, 노광량 및 국부적 산 농도의 함수이며, n은 반응 순서이다. NTD 공정에서, 현상액은 예를 들어 순수 유기 비극성 용매, 예를 들어 n-부틸 아세테이트이고, PTD 공정에서와 같은 수용성 염기 용액은 아니다.
일부 실시예들에서, 현상액의 반응을 결정하는 단계는 용해 제한 체제의 현상을 포함하는 용해성 레지스트의 레지스트 용해 속도를 산출하기 위해 블로킹된 폴리머 농도에 대한 반응 순서의 멱(power)에서 반응 속도 상수를 적용하는 단계를 포함할 수 있다.
일부 실시예들에서, 노광량이 증가함에 따라, m은 감소되어 용해 속도를 억제시키거나 느리게 한다. 따라서 RNTD는 겔 포인트 용량에 도달할 때까지 노광량이 증가함에 따라 감소된다. 겔 포인트 용량에서, 포토 레지스트는 부분적으로 불용성이 된다. 겔 포인트 용량 이상에서, 레지스트의 점점 더 많은 부분들이 불용성이 되지만, 현상액은 레지스트에 계속 들어갈 수 있고, 이는 순전히 확산에 의해 구동된다. 일부 실시예들에서, 현상액이 부분적으로 불용성인 레지스트 내로 확산하는 속도는 m/s의 단위를 갖는 플럭스로서 기술된다.
Figure pct00018
여기서 jS는 시간 t에서 용해되지 않은 레지스트 내부의 임의의 점 r을 통과하는 현상액의 플럭스이고, DS는 ㎡/s 단위의 현상액의 벡터 값의 확산 계수이며, ▽S는 레지스트 내부의 점 r 및 시간 t에서의 현상액 농도의 기울기이다. 확산 계수의 부호는 확산 방향이 포토 레지스트 덩어리 쪽으로 있음을 나타내기 위해 선택된다. 포토 레지스트 수지상의 블로킹된 또는 미반응 부위의 농도에 관련하여 확산 계수의 값은 매우 복잡하지만 폴리머 용액 열역학과 침투 이론 모두를 고려하여 예측될 수 있다.
일부 실시예들에서, 현상액의 플럭스를 결정하는 단계는 확산 제어 체제의 현상을 포함하는 불용성 레지스트의 확장 속도를 위해 현상액 농도의 기울기에 블로킹된 폴리머 농도에 의존하는 현상액의 벡터 값의 확산 계수를 적용하는 단계를 포함할 수 있다.
예를 들어, Flory-Huggins 이론은 폴리머와 용매 사이의 열역학적 호환성을 다음과 같이 특징짓는다.
Figure pct00019
여기서 D는 폴리머/용매 시스템에서의 상호 이원 확산 계수이고, φ1은 용액 내에서의 현상액 부피 분율이며, χ는 열역학적 폴리머-용매 상호 작용 파라미터이다. NTD 포토 레지스트와 관련하여, χ는 폴리머 수지와 현상액 사이의 열역학적 호환성으로서, 폴리머 블로킹 범위 및 현상액 종의 분자 부피의 함수를 나타낸다.
침투 이론은 여과 클러스터라고 불리는 연결된 구멍과 채널의 형성과 같은 현상을 다루는 수학의 한 분야이다. NTD 포토 레지스트에서 친수성 여과 클러스터의 농도는 매우 용량 의존적이다. 예를 들어, 높은 용량에서, 광산 확산에 의해 포토 레지스트 수지 폴리머상에 보다 많은 반응성 부위가 디블로킹된다. 디블로킹된 반응의 생성물은 부분적으로 휘발성이어서 자유 부피를 남기며, 이는 사실상 현상액이 확산할 수 있는 포토 레지스트의 구멍 및 채널이다.
겔 포인트 용량 이상에서의 용해되지 않거나 불용성인 레지스트와 액체 현상액 사이의 경계는 Γ로 표시될 것이다. 예를 들어, 2차원에서 Γ는 선이고, 3차원에서 Γ는 표면이다. Γ는 포토 레지스트 에지를 나타낸다. 속도, 방향 및 양에 관심이 있으면, Γ의 양은 불용성 포토 레지스트로의 현상액의 확산에 대한 반응으로서 시간 간격에 걸쳐 대체된다.
Γ의 대체는 jS와 크기가 같지만 jS 반대 방향의 속도로 발생한다.
Figure pct00020
여기서 RIEV(r,t)│Γ는 Γ 상의 임의의 점과 시간에서 계산된 불용성 에지 속도를 나타낸다. 시간 간격에 걸친 에지의 대체 Γd는 적분으로 표현될 수 있다.
Figure pct00021
또는 경계 조건 Γd(t) = 0 에 따른 상미분 방정식으로 표현될 수 있다.
Figure pct00022
따라서, 네거티브 톤 현상 공정 동안 Γ 상의 임의의 점 r 및 임의의 시간 t에서의 전체 에지 속도
Figure pct00023
는 고전적인 용해 속도 RNTD와 불용성 에지 속도 RIEV의 합으로 표현될 수 있다.
Figure pct00024
일부 실시예들에서, 겔 포인트 용량보다 상당히 아래에서, 포토 레지스트는 노광이 부족하고(m ∼ 1), 레지스트는 매우 용해성이다. 이 경우, 고전적인 역 Mack 용해 이론은 주로 현상 중에 Γ가 전파하는 위치, 속도 및 방향을 결정하는데, 왜냐하면 다음과 같기 때문이다.
Figure pct00025
네거티브 톤 현상 공정은 용해 제어(dissolution-controlled)라고 한다.
Figure pct00026
일부 실시예들에서, 겔 포인트 용량 이상에서, 포토 레지스트는 훨씬 많이 노광되고, 더 많은 입사 방사선을 흡수하며, 보다 많은 광 발생 산을 생성하므로(m << 1), 훨씬 덜 용해성이다. 이것은 고전적인 용해 속도 RNTD(r,t)가 0에 접근하게 할 수 있다. 그러나 위에서 설명된 확산 공정으로 인해, 현상액은 포토 레지스트로 계속 들어가서 레지스트 질량의 부피를 증가시키고 에지 Γ의 위치를 교란시킨다.
Figure pct00027
일부 실시예들에서, 용해 제어 체제는 포토 레지스트 표면이 현상액에 적어도 부분적으로 용해성일 때 적용된다.
네거티브 톤 현상 공정은 용해 제어 체제에서 확장 제어 체제(expansion-controlled)로 전환된다.
Figure pct00028
일부 실시예들에서, PTD 공정에서 관찰되지 않은 NTD 현상의 확장 제어 체제는 NTD 공정의 리소그래피 행동에 강하게 영향을 미친다. 이러한 효과를 시뮬레이션에서 무시하면, 아래에 도시된 것처럼 NTD 공정의 계산적 리소그래피 모델링의 정확도가 심하게 저하된다.
일부 실시예들에서, 확장 제어 체제는 포토 레지스트 표면이 현상액에 실질적으로 불용성일 때 적용된다. 확장 제어 체제는 포토 레지스트 표면이 현상액에 실질적으로 불용성일 때, 현상액은 계속해서 포토 레지스트에 흡수되어 포토 레지스트의 부피를 증가시키도록 적용될 수 있다. 일부 실시예들에서, 용해 제어 체제는 겔 포인트 용량에서 확장 제어 체제로 전환될 수 있다.
도 1은 포토 레지스트의 현상 공정을 개선하는 방법의 흐름도를 도시한다. 웨이퍼 처리에서 하프 피치 및 임계 치수의 지속적인 수축으로 인해, 초점 심도 및 노광 허용도와 같은 합리적인 공정 윈도우를 유지하는 것은 매우 어려워진다. 소스 마스크 최적화 방법론으로, 리소그래피 공정 윈도우가 개선될 수 있고, 더 작은 마스크 오차 강화 인자가 달성될 수 있다.
리소그래피 시스템의 해상도 성능을 개선하기 위해, 다양한 도구가 사용될 수 있다. 조명 시스템의 최근 개발은 자유롭게 튜닝 가능한 조명 소스를 포함한다. 자유롭게 튜닝 가능한 조명 소스는 거의 임의적으로 정의되는 조명 형태를 제공할 수 있으며, 따라서 조명 패턴에 대한 보다 미세한 제어를 가능하게 한다. 임의의 복잡도를 갖는 조명 형태는 간단한 개구, 그레이 톤 플레이트 또는 회절 광학 요소에 의해 생성될 수 있다. 투영 광학 장치는 방사선이 패터닝 디바이스를 통과하기 전에 소스로부터의 방사선을 성형, 조정 및/또는 투영하기 위한 광학 구성 요소 및/또는 방사선이 패터닝 디바이스를 통과한 후에 방사선을 성형, 조정 및/또는 투영하기 위한 광학 구성 요소를 포함할 수 있다. 조명 소스 및 마스크 모두에 대해 다수의 튜닝 및 조정 옵션을 제공하는 최근 개발의 관점에서, 소스 및 마스크 구성의 최적 조합을 결정하는 접근법이 바람직하다. 일부 실시예들에서, 예를 들어 본 출원에 참조로 모두 포함된 한센(Hansen)의 미국 특허 제9,213,783호, 한센의 미국 특허 출원 공개 제20160110488호, 및 한센의 미국 특허 제8,786,824호에 개시된 바와 같이, 본 명세서에 설명된 시뮬레이션 방법을 사용하면, 조명 소스(스캐너) 및 마스크의 개선된 소스-마스크 최적화(source-mask optimization; SMO)를 허용할 수 있다.
일부 실시예들에서, 방법은 풀칩 소스-마스크를 최적화하는 단계를 포함할 수 있다. 일부 실시예들에서, 방법은 풀칩 소스-마스크를 사용하여 집적 회로를 형성하는 단계를 포함할 수 있다.
일부 실시예에서, 방법은 마스크의 풀칩 광학 근접을 보정하는 단계를 포함할 수 있다. 방법은 풀칩 상에 마스크 서브 해상도 보조 피처의 배치 및 검증을 포함할 수 있다. 방법은 마스크 서브 해상도 보조 피처를 수리하는 단계를 포함할 수 있다. 방법은 풀칩 마스크를 검사하는 단계를 포함할 수 있다. 방법은 마스크 핫 스폿을 식별, 진단 및/또는 수리하는 단계를 포함할 수 있다. 방법은 풀칩 소스-마스크를 최적화하는 단계를 포함할 수 있다.
실험 데이터
I. 시뮬레이션된 예측 및 실험 데이터 간의 일치 측정
M 개의 조정 가능한 파라미터 aj, j = 1,..., M을 갖는 모델에 N 개의 데이터 포인트 (xi,yi),i = 1,..., N을 맞추는 것으로 가정한다. 모델은 측정된 독립 변수와 종속 변수 간의 기능적 관계를 예측한다.
Figure pct00029
여기서, 수직 막대는 오른쪽에 있는 파라미터에 대한 종속성을 나타낸다. aj에 대한 적합한 값을 얻기 위해 최소화하려는 것은 무엇인가? 가장 먼저 떠오르는 것은 친숙한 최소 제곱법 맞춤이다. a1,...,aM에 대한 최소화는,
Figure pct00030
데이터는 특정 관찰을 할 확률을 결정하는 상위 분포에서 가져온 관측 샘플로 구성된다. 특정 세트의 파라미터를 고려할 때, 관측 데이터가 발생해야 하는 확률에 각각의 데이터 포인트에 대해 일부 작은 고정된 값 Δy를 더하거나 빼면? 데이터 세트를 획득할 확률이 너무 낮으면, 고려 중인 파라미터가 정확하지 않을 것으로 결론 내린다. 올바른 파라미터 선택을 위해 데이터 세트는 너무 가능성이 낮아서는 안 된다. 각각의 데이터 포인트 yi는 독립적으로 무작위이며 "true" y(x)를 중심으로 정규 분포된 측정 오차가 있다고 가정한다. 단순화를 위해, 이러한 정규 분포의 표준 편차 σ가 모든 데이터 포인트에 대해 동일하다고 가정한다. 그러면 데이터 세트의 확률은 각 포인트의 확률의 곱이다.
Figure pct00031
가장 가능성 있는 모델은 이 방정식을 최대화하는 것으로서, 이는 지수에서 인수를 최소화하는 것과 같다.
Figure pct00032
N, σ 및 Δy는 모두 상수이므로, 이 방정식을 최소화하는 것은 다음 방정식을 최소화하는 것과 같다.
Figure pct00033
따라서 파라미터에 주어진 데이터의 확률을 데이터에 주어진 파라미터의 가능성(likelihood)으로 식별한다. 이런 방식으로 도출된 파라미터를 최대 우도 추정 기(maximum likelihood estimator)라고 한다. 각 데이터 포인트 (xi,yi)가 그 자체의 알려진 표준 편차 σi를 갖는다면, 모델 파라미터의 최대 우도 추정은 일반적으로 카이 제곱(chi-square)이라 지칭되는 상기 공식의 합을 최소화함으로써 획득될 수 있거나, 자유도로 나누는 경우, 축소된 카이 제곱을 최소화함으로써 획득될 수 있다.
Figure pct00034
자유도는 v = N - M이다. 카이 제곱에는 단위가 없으며 순수한 숫자이다. 양호한 적합성을 위한 일반적인 값은
Figure pct00035
또는
Figure pct00036
이라는 것이 경험적 법칙이다. 보다 정확한 것은 χ2 통계량은 평균
Figure pct00037
와 표준 편차
Figure pct00038
를 갖고, 큰
Figure pct00039
는 정규 분포된다는 진술이다. 파라미터 aj에 대한 카이 제곱의 도함수를 취하면, 카이 제곱 최소값에서 유지되어야하는 방정식을 얻는데, 이는 M 개의 비공지 aj에 대한 M 개의 비선형 방정식의 집합이다.
Figure pct00040
시뮬레이션된 예측과 실험 데이터 간의 평균 제곱근 오차(root mean square of the error; RMSE)는 또한 최대 우도 추정과 유사하게 도출되며, 실험 데이터의 단위와 동일한 RMSE의 단위를 갖고, RMSE의 값이 작을수록 시뮬레이션된 예측과 실험 데이터 간의 더욱 양호한 일치를 나타낸다.
Figure pct00041
모델링 오차의 비교: 화학 증폭형 포토 레지스트의 네거티브 톤 현상을 시뮬레이션하기 위한 고전적인 방법 대 개선된 방법
네거티브 톤 현상 공정을 시뮬레이션하는 데 사용된 방법에서 상이한 두 가지 교정된 계산적 리소그래피 모델을 사용하여 현상 공정 완료 후 포토 레지스트 릴리프 이미지("(after-develop image)현상 후 이미지" 또는 "ADI")의 임계 치수를 예측했다. 계산적 리소그래피 모델은 네거티브 톤 현상 공정의 처리와는 상이하다. 하나의 모델은 용해 제어 및 확장 제어 현상(개선된 NTD 모델링 접근법)의 시뮬레이션에 대한 지원을 포함하고, 다른 하나는 용해 제어 현상(고전적인 역 Mack 접근법)만의 시뮬레이션에 대한 지원을 포함한다. 각 접근법의 성능을 평가하는 데 사용된 일련의 실험 데이터는 정확히 동일하다. 데이터는 최신 NTD 포토 레지스트를 사용하여 생성된 리소그래피 피처의 임계 치수를 측정하여 수집되었다. 임계 치수는 스캐너 노광량, 스캐너 초점, 마스크 피처 폭, 마스크 피처 피치 및 피처 톤의 함수로 수집되었다. NTD 공정에서, 불투명 마스크 피처는 레지스트에 공간이나 구멍으로 인쇄되는 반면, 투명 마스크 피처는 선이나 포스트로 인쇄된다. 단일 마스크 피처 폭 및 톤, 단일 마스크 피처 피치 및 다중 용량 및 초점 값을 사용하여 수집된 피처를 기술하는 데이터 세트는 초점-노광 매트릭스(focus-exposure matrix; FEM)로서 지칭된다. 각 FEM은 두 가지 모델을 사용하여 시뮬레이션된다. 모델 예측은 최대 우도 방법을 사용하여 실험 데이터와 비교된다. 네거티브 톤 현상을 시뮬레이션하는 고전적인 역 Mack 방법과 개선된 방법에 대해 아래의 표에 모델 적합성의 양호함이 정량화되어 도시되었으며, 개선된 방법은 현상 중 용해 제어 및 확장 제어 공정을 모두를 설명한다. 표의 맨 윗줄에는 위에서 설명한 RMS 오차 및 축소된 카이 제곱을 비롯한 전체 모델 오차 대 실험 데이터가 나열되어 있다. 표 1은 네거티브 톤 현상을 시뮬레이션하기 위해 고전적인 역 Mack 방법을 사용하는 계산적 리소그래피 모델에서 획득된 최상의 결과를 도시하며, 이 접근법의 RMS 오차는 6.78 nm이며 감소된 카이 제곱은 36.62이다.
Figure pct00042
표 1: 고전적인 역 Mack 접근법을 사용한 결과
표 1은 네거티브 톤 현상을 시뮬레이션하기 위해 고전적인 역 Mack 방법을 사용하는 계산적 리소그래피 모델에서 획득된 최상의 결과를 도시하며, 이 접근법의 RMS 오차는 6.78 nm이며 감소된 카이 제곱은 36.62이다.
Figure pct00043
표 2: 개선된 접근법을 사용한 결과
표 2는 네거티브 톤 현상을 시뮬레이션하기 위해 개선된 방법을 사용하는 계산적 리소그래피 모델에서 획득된 최상의 결과를 도시하며, 이 접근법의 RMS 오차는 2.01 nm이며 감소된 카이 제곱은 2.89이다. 개선된 방법은 RMS 오차를 3.4 배 감소시키는 것으로 나타났는데, 이는 개선된 방법을 사용한 모델링 오차가 동일한 조건 하에서 고전적인 방법으로 얻은 것보다 3.4 배 양호한 것을 의미한다.
II. 화학 증폭형 포토 레지스트에서 네거티브 톤 현상을 시뮬레이션하기 위한 개선된 방법의 적용
강화된 리소그래피 공정 윈도우의 예측 수정
네거티브 톤 현상 공정 동안의 확장 현상은 특히 공간 또는 구멍과 관련하여 ADI 리소그래피에 대한 상당한 개선을 가져온다. 예를 들어, 193nm 방사선 및 오프 축 조명을 갖는 최신 1.35 NA 스캐너를 사용하여 120nm 마스크 피치를 통해 포토 레지스트에 40nm 공간을 인쇄하는 리소그래피 공정 시뮬레이션을 고려한다. 용해 제어 체제 현상 및 확장 제어 체제 현상 모두의 시뮬레이션을 지원하는 네거티브 톤 현상 시뮬레이션을 위한 개선된 방법 대 용해 제어 체제만의 시뮬레이션을 지원하는 네거티브 톤 현상의 시뮬레이션을 위한 고전적인 역 Mack 방법인, 두 가지 계산적 리소그래피 모델에 대한 공정 윈도우의 크기를 평가하는 데 사용된 대표적인 메트릭인, 노광 허용도 대 초점 심도의 비교적인 그래프가 아래에 도시되어 있다. 사용된 모델은 표 1과 표 2에 나타난 정밀도로 교정된다. 약 2nm의 RMS 오차 내에서 실험 결과를 예측할 수 있는 개선된 모델링 방법은 35.8 mJ/㎠의 사이징 용량에서 최대 노광 허용도 13.4%, 최대 초점 심도(DOF) 150nm를 갖는 공정을 예측하고, 약 7nm의 RMS 내에서 실험 결과를 예측할 수 있는 고전적인 방법은 51.8 mJ/㎠의 사이징 용량에서 최대 노광 허용도 12.8%, 최대 초점 심도 57nm를 예측한다. 개선된 모델링 방법은 고전적인 방법에 비해 2.6 배 큰 초점 심도(DOF)를 갖는 공정을 예측한다.
공정 처리량 예측 수정
웨이퍼 처리의 처리량 또는 속도는 포토 레지스트의 사이징 용량 또는 포토 레지스트 피처의 적절한 치수를 생성하는 데 필요한 에너지의 양에 크게 좌우되며; 포토 레지스트의 사이징 용량은 단위 시간당 단위 면적당 웨이퍼에서 측정된 방사선 세기에 노광에 필요한 시간을 곱한 것과 같은 단위로 측정된다.
Figure pct00044
여기서 I는 mJ/(㎠·s) 단위의 방사선 세기이고, t는 초 단위의 노광 시간이다. NTD 공정에서, 더 큰 용량 값은 더 작은 공간 CD와 큰 선 CD에 해당한다. 용량이 증가함에 따라, 선 CD는 증가하고 공간 CD 감소한다. 웨이퍼가 스캐너에 노광되는 시간이 적으므로, 사이징 용량이 작을수록 처리량이 빠른 레지스트 공정을 나타낸다. 따라서, 보다 작은 사이징 용량은 대량 생산 속도 또는 단위 시간당 처리되는 웨이퍼의 수를 증가시킨다. NTD 현상을 시뮬레이션하기 위한 개선된 방법을 포함하면 35.8 mJ/㎠의 사이징 용량을 예측하고, 고전적인 방법은 동일한 피처에 대해 51.8 mJ/㎠의 사이징 용량을 예측한다.
네거티브 톤 현상의 확장 제어 체제의 시뮬레이션을 포함하면 상기 표 1 및 표 2에 의해 입증된 바와 같이 보다 정확한 계산적 리소그래피 모델을 생성한다는 것이 명백하다. 개선된 방법을 사용하면, 모델링 오차가 고전적인 방법에 비해 3.5 배 감소된다. 모델링 오차가 낮을수록, 더 정확한 예측을 의미한다. 개선된 모델은 공정 윈도우의 더욱 정확한 픽처를 제공하는 데 이용될 수 있는데, 이 경우, 도 2에 의해 입증된 고전적인 방법에 의해 예측된 공정 윈도우보다 훨씬 큰 공정 윈도우 및 사이징 용량의 예측에 의해 입증된 바와 같이 보다 빠른 제조 처리량의 보다 정확한 예측이 제공될 수 있다.
이 특허에서는 특정 미국 특허, 미국 특허 출원 및 기타 자료(예를 들어, 기사)가 참조로 포함되었다. 그러나 이러한 미국 특허, 미국 특허 출원 및 기타 자료의 텍스트는 이러한 텍스트와 본 명세서에 기재된 다른 진술 및 도면 간에 충돌이 존재하지 않는 범위 내에서만 참조로 포함된다. 이러한 충돌이 있는 경우, 미국 특허, 미국 특허 출원 및 기타 자료의 참조로 포함된 이러한 상충되는 텍스트는 구체적으로 이 특허에 참조로 포함되지 않는다.
본 발명의 다양한 양태들의 다른 변형 및 대안적인 실시예들은 이 설명을 고려하여 당업자에게 명백할 것이다. 따라서, 이 설명은 단지 예시적인 것으로 해석되어야 하며, 본 발명을 수행하는 일반적인 방법을 당업자에게 교시하기 위한 것이다. 본 명세서에 도시되고 설명된 본 발명의 형태는 현재 바람직한 실시예로서 간주되어야 한다. 본 명세서에서 설명되고 예시된 것을 대신하여 요소들과 물질들이 대체될 수 있고, 부품들 및 공정들은 뒤바뀔 수 있고, 본 발명의 어떠한 특징들은 독립적으로 활용될 수 있으며, 이 모두는 본 발명의 설명의 혜택을 가진 후에 본 업계의 당업자에게는 자명하게 될 것이다. 다음의 청구 범위에 설명된 바와 같이 본 발명의 사상 및 범위를 벗어나지 않고 본 명세서에 설명된 요소들은 변경될 수 있다.

Claims (19)

  1. 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법에 있어서,
    용해성 포토 레지스트 표면과 현상액의 반응을 결정하는 단계로서, 용해 제한 체제의 현상을 포함하는 용해성 레지스트의 레지스트 용해 속도를 산출하기 위해 블로킹된 폴리머 농도에 대한 반응 순서의 멱(power)에서 반응 속도 상수를 적용하는 단계를 포함하는 것인, 상기 현상액의 반응을 결정하는 단계; 및
    노광되어 부분적으로 용해성인 레지스트로의 현상액의 플럭스를 결정하는 단계로서, 확장 제어 체제의 현상을 포함하는 불용성 레지스트의 확장 속도를 위해 현상액 농도의 기울기에 블로킹된 폴리머 농도에 의존하는 현상액의 벡터 값의 확산 계수를 적용하는 단계를 포함하는 것인, 상기 현상액의 플럭스를 결정하는 단계
    를 포함하는 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  2. 제 1 항에 있어서, 상기 포토 레지스트의 현상 공정은 네거티브 톤 현상 공정을 포함하는 것인, 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  3. 제 1 항에 있어서, 상기 포토 레지스트의 현상 공정은 포지티브 톤 현상 공정을 포함하는 것인, 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  4. 제 1 항에 있어서, 상기 포토 레지스트의 현상 공정은 248nm (KrF), 193nm (ArF) 또는 13.5nm (EUV) 리소그래피에 사용되는 포토 레지스트를 포함하는 것인, 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  5. 제 1 항에 있어서, 상기 용해성 레지스트의 용해 속도(RD)를 산출하기 위해 상기 블로킹된 폴리머 농도(m)에 대한 반응 순서(n)의 멱에서 반응 속도 상수(kR)를 적용하는 단계는
    Figure pct00045
    와 같은 것인, 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  6. 제 1 항에 있어서, 상기 노광되어 부분적으로 용해성인 레지스트로의 현상액의 플럭스 (
    Figure pct00046
    )를 결정하는 단계는,
    Figure pct00047

    이 되도록 상기 블로킹된 폴리머 농도(m)에 의존하는 현상액의 벡터 값 확산 계수(DS)를 점 r 및 시간 t에서의 현상액 농도의 기울기(▽S)에 적용하는 것에 의해 결정되는 것인, 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  7. 제 1 항에 있어서, 상기 용해 제어 체제는 상기 포토 레지스트 표면이 상기 현상액에 적어도 부분적으로 용해성일 때 적용되는 것인, 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  8. 제 1 항에 있어서, 상기 확장 제어 체제는 상기 포토 레지스트 표면이 상기 현상액에 실질적으로 불용성일 때 적용되는 것인, 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  9. 제 1 항에 있어서, 상기 확장 제어 체제는 상기 포토 레지스트 표면이 상기 현상액에 실질적으로 불용성일 때, 상기 현상액이 계속해서 상기 포토 레지스트에 흡수되어 상기 포토 레지스트의 부피를 증가시키도록 적용되는 것인, 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  10. 제 1 항에 있어서, 상기 용해 제어 체제는 겔 포인트 용량(gel point dose)에서 상기 확장 제어 체제로 전환되는 것인, 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  11. 제 1 항에 있어서, 상기 포토 레지스트의 현상 공정을 시뮬레이션하는 방법은 포토 레지스트의 현상 공정을 확률적으로 시뮬레이션하는 단계를 포함하는 것인, 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  12. 제 1 항에 있어서,
    풀칩 상의 마스크의 광학 근접을 보정하는 단계
    를 더 포함하는 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  13. 제 1 항에 있어서,
    상기 풀칩 상의 마스크 서브 해상도 보조 피처를 배치 및 검증하는 단계
    를 더 포함하는 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  14. 제 1 항에 있어서,
    마스크 서브 해상도 보조 피처를 수리하는 단계
    를 더 포함하는 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  15. 제 1 항에 있어서,
    풀칩 상의 마스크를 검사하는 단계
    를 더 포함하는 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  16. 제 1 항에 있어서,
    풀치 상의 마스크 핫 스폿을 식별, 진단 및/또는 수리하는 단계
    를 더 포함하는 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  17. 제 1 항에 있어서,
    풀칩 상의 조명 소스 및 마스크를 최적화하는 단계
    를 더 포함하는 포토 레지스트의 현상 공정의 시뮬레이션을 개선하는 방법.
  18. 집적 회로를 형성하는 방법에 있어서,
    포토 레지스트의 네거티브 톤 현상 공정을 시뮬레이션하는 단계로서,
    포토 레지스트 표면과 현상액의 반응을 결정하는 단계로서, 용해 제한 체제의 현상을 포함하는 용해성 레지스트의 레지스트 용해 속도를 산출하기 위해 블로킹된 폴리머 농도에 대한 반응 순서의 멱에서 반응 속도 상수를 적용하는 단계를 포함하는 것인, 상기 현상액의 반응을 결정하는 단계; 및
    노광되어 부분적으로 용해성인 레지스트로의 현상액의 플럭스를 결정하는 단계로서, 확장 제어 체제의 현상을 포함하는 불용성 레지스트의 확장 속도를 위해 현상액 농도의 기울기에 블로킹된 폴리머 농도에 의존하는 현상액의 벡터 값의 확산 계수를 적용하는 단계를 포함하는 것인, 상기 현상액의 플럭스를 결정하는 단계를 포함하는 것인, 상기 네거티브 톤 현상 공정을 시뮬레이션하는 단계; 및
    상기 시뮬레이션으로부터의 결과를 사용하여 풀칩 상의 조명 소스 및 마스크를 최적화하는 단계
    를 포함하는 집적 회로를 형성하는 방법.
  19. 제 18 항에 있어서,
    상기 풀칩 상의 최적화된 조명 소스 및 마스크를 사용하여 집적 회로를 형성하는 단계
    를 더 포함하는 하는 집적 회로를 형성하는 방법.
KR1020197004153A 2016-07-15 2017-07-14 네거티브 톤 현상 가능한 포토 레지스트의 컴퓨터 모델링 및 시뮬레이션을 위한 개선된 방법 KR102243006B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662363041P 2016-07-15 2016-07-15
US62/363,041 2016-07-15
US15/232,302 US10007191B2 (en) 2016-07-15 2016-08-09 Method for computer modeling and simulation of negative-tone-developable photoresists
US15/232,302 2016-08-09
PCT/US2017/042257 WO2018013998A1 (en) 2016-07-15 2017-07-14 Improved method for computer modeling and simulation of negative-tone-developable photoresists

Publications (2)

Publication Number Publication Date
KR20190019212A true KR20190019212A (ko) 2019-02-26
KR102243006B1 KR102243006B1 (ko) 2021-04-20

Family

ID=60940994

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197004153A KR102243006B1 (ko) 2016-07-15 2017-07-14 네거티브 톤 현상 가능한 포토 레지스트의 컴퓨터 모델링 및 시뮬레이션을 위한 개선된 방법

Country Status (6)

Country Link
US (1) US10007191B2 (ko)
EP (1) EP3465349B1 (ko)
KR (1) KR102243006B1 (ko)
CN (1) CN110012672B (ko)
TW (1) TWI722219B (ko)
WO (1) WO2018013998A1 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10852635B2 (en) * 2017-02-27 2020-12-01 Synopsys, Inc. Compact modeling for the negative tone development processes
KR102380916B1 (ko) * 2017-05-12 2022-04-01 에이에스엠엘 네델란즈 비.브이. 레지스트 현상을 평가하는 방법들
KR20200031923A (ko) 2018-09-17 2020-03-25 삼성전자주식회사 네가티브톤 현상용 포토마스크
KR20210067757A (ko) 2019-11-29 2021-06-08 삼성전자주식회사 포토리소그래피 방법
KR20220036133A (ko) 2020-09-15 2022-03-22 삼성전자주식회사 Euv 포토마스크 및 이를 이용한 마스크 패턴의 형성 방법
CN112363372B (zh) * 2020-11-19 2023-03-10 东方晶源微电子科技(北京)有限公司深圳分公司 一种负显影光刻工艺的仿真方法、负显影光刻胶模型、opc模型及电子设备
KR20220149823A (ko) 2021-04-30 2022-11-09 삼성전자주식회사 멀티-스케일 시뮬레이션을 이용한 리소그라피 방법, 및 그 리소그라피 방법을 기반으로 한 반도체 소자 제조방법 및 노광 설비
CN114488705A (zh) * 2022-01-13 2022-05-13 东方晶源微电子科技(北京)有限公司 一种负向显影光刻胶模型优化方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1064792A (ja) * 1996-08-22 1998-03-06 Toshiba Corp 形状シミュレーション方法
JP2000029217A (ja) * 1998-07-10 2000-01-28 Nec Corp 化学増幅型レジスト形状の計算方法及び記録媒体
JP2005031010A (ja) * 2003-07-10 2005-02-03 Risotetsuku Japan Kk フォトレジストの溶解速度の解析方法および装置
US20150261896A1 (en) * 2014-03-17 2015-09-17 Kla-Tencor Corporation Model for accurate photoresist profile prediction

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5717612A (en) 1995-06-06 1998-02-10 Advanced Micro Devices, Inc. Post-exposure bake simulator for chemically amplified photoresists
JP2003077811A (ja) * 2001-09-05 2003-03-14 Nikon Corp 化学増幅型レジストの現像レートシミュレーション方法
JP2004228228A (ja) 2003-01-21 2004-08-12 Toshiba Corp 形状シミュレーション方法、形状シミュレーションプログラム及びマスクパターン作成方法
JP2005172920A (ja) * 2003-12-08 2005-06-30 Toshiba Corp 危険パターン抽出方法及び危険パターン抽出プログラム
JP4414753B2 (ja) * 2003-12-26 2010-02-10 東京エレクトロン株式会社 現像装置及び現像処理方法
JP4915502B2 (ja) * 2006-03-06 2012-04-11 凸版印刷株式会社 レジストパターンシミュレーション方法
US7270922B1 (en) 2006-03-09 2007-09-18 Infineon Technologies Ag Method for determining an edge profile of a volume of a photoresist after a development process
JP2010192754A (ja) * 2009-02-19 2010-09-02 Toppan Printing Co Ltd レジストパターンシミュレーション方法及び装置
US8786824B2 (en) 2009-06-10 2014-07-22 Asml Netherlands B.V. Source-mask optimization in lithographic apparatus
NL2009982A (en) 2012-01-10 2013-07-15 Asml Netherlands Bv Source mask optimization to reduce stochastic effects.
WO2015197313A1 (en) * 2014-06-25 2015-12-30 Asml Netherlands B.V. Etch variation tolerant optimization

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1064792A (ja) * 1996-08-22 1998-03-06 Toshiba Corp 形状シミュレーション方法
JP2000029217A (ja) * 1998-07-10 2000-01-28 Nec Corp 化学増幅型レジスト形状の計算方法及び記録媒体
JP2005031010A (ja) * 2003-07-10 2005-02-03 Risotetsuku Japan Kk フォトレジストの溶解速度の解析方法および装置
US20150261896A1 (en) * 2014-03-17 2015-09-17 Kla-Tencor Corporation Model for accurate photoresist profile prediction

Also Published As

Publication number Publication date
EP3465349A4 (en) 2020-03-04
TWI722219B (zh) 2021-03-21
US20180017873A1 (en) 2018-01-18
WO2018013998A1 (en) 2018-01-18
TW201823878A (zh) 2018-07-01
CN110012672A (zh) 2019-07-12
CN110012672B (zh) 2020-11-03
US10007191B2 (en) 2018-06-26
EP3465349B1 (en) 2021-07-07
KR102243006B1 (ko) 2021-04-20
EP3465349A1 (en) 2019-04-10

Similar Documents

Publication Publication Date Title
KR102243006B1 (ko) 네거티브 톤 현상 가능한 포토 레지스트의 컴퓨터 모델링 및 시뮬레이션을 위한 개선된 방법
CN109073985B (zh) 光敏化学放大型抗蚀剂(ps-car)模型校准
KR102471849B1 (ko) 감광되고 화학적으로 증폭된 레지스트(ps-car) 시뮬레이션
Thackeray Materials challenges for sub-20-nm lithography
US7488933B2 (en) Method for lithography model calibration
KR100819484B1 (ko) 리소그래피 기판을 오버레이하는 포지티브 톤 레지스트층의 패터닝 방법
JP2007501431A (ja) 基板にパターン形成するための改善された方法
US7743359B2 (en) Apparatus and method for photomask design
Erdmann et al. Optical and EUV projection lithography: A computational view
Gao et al. Calibration and verification of a stochastic model for EUV resist
Wang et al. Photobase generator and photo decomposable quencher for high-resolution photoresist applications
Erdmann et al. Simulation of optical lithography
Erdmann et al. Lithography simulation: modeling techniques and selected applications
Hoffnagle et al. Use of interferometric lithography to characterize the spatial resolution of a photoresist film
US9678435B1 (en) Horizontal development bias in negative tone development of photoresist
Thackeray et al. Understanding EUV resist mottling leading to better resolution and linewidth roughness
Jiang et al. Impact of acid statistics on EUV local critical dimension uniformity
Lee et al. Multiscale approach for modeling EUV patterning of chemically amplified resist
Wu et al. Process model guided photoresist formulation optimization
D’Silva FEM modeling of shrinkage effects in negative tone photoresists
Sturtevant Patterning Process Model Challenges for 14 nm
Biafore et al. The divergence of image and resist process metrics
Vollenbroek et al. Promote processing with JSR-7750 positive photoresist
Graves et al. Methods for benchmarking photolithography simulators: part V
Biafore et al. The divergence of image and resist process metrics

Legal Events

Date Code Title Description
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant