KR100819484B1 - 리소그래피 기판을 오버레이하는 포지티브 톤 레지스트층의 패터닝 방법 - Google Patents

리소그래피 기판을 오버레이하는 포지티브 톤 레지스트층의 패터닝 방법 Download PDF

Info

Publication number
KR100819484B1
KR100819484B1 KR1020060097068A KR20060097068A KR100819484B1 KR 100819484 B1 KR100819484 B1 KR 100819484B1 KR 1020060097068 A KR1020060097068 A KR 1020060097068A KR 20060097068 A KR20060097068 A KR 20060097068A KR 100819484 B1 KR100819484 B1 KR 100819484B1
Authority
KR
South Korea
Prior art keywords
target
pattern
resist
feature
substrate
Prior art date
Application number
KR1020060097068A
Other languages
English (en)
Other versions
KR20070038423A (ko
Inventor
요제프 마리아 핀더스
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20070038423A publication Critical patent/KR20070038423A/ko
Application granted granted Critical
Publication of KR100819484B1 publication Critical patent/KR100819484B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

마스크 오차 인자를 감소시키고 리소그래피 프린팅-처리 레졸루션을 증진시키기 위한 단일 노광법 및 듀얼 노광법이 기재된다. 본 발명은, 포지티브 톤 레지스트를 사용하여, 서로에 대하여 섞어짜여진(interlaced) 위치에서 프린팅되는 반 조밀한(semi dense) 공간들의 두 서브 패턴들로 원하는 패턴의 조밀한 선들 및 공간들을 분해하는 것을 포함한다. 각각의 노광들은, 반 조밀 공간들의 두개의 대응하는 마스크 패턴들의 공간들에 상대적인 공간-폭 와이드닝(space-width widening)을 적용한 후 수행된다.

Description

리소그래피 기판을 오버레이하는 포지티브 톤 레지스트 층의 패터닝 방법{METHOD OF PATTERNING A POSITIVE TONE RESIST LAYER OVERLAYING A LITHOGRAPHIC SUBSTRATE}
이제 본 발명의 실시예를 단지 예시로서, 대응하는 참조 부호가 대응하는 부분을 나타내는 첨부 개략도를 참조하여 설명할 것이며,
- 도 1은 리소그래피 패터닝 처리에 대한 마스크 오차 인자(mark error factor)의 크기를 도시한다. 수평축은 패턴 마스크의 선폭을 나타내고, 수직축은 레지스트 내에 패터닝된 바와 같은 선폭을 나타낸다;
- 도 2는 본 발명에 따른 리소그래피 패터닝 처리에 대한 마스크 오차 인자의 크기를 도시하고;
- 도 3은 조밀한(dense) 선들 및 공간들의 원하는 패턴을 반 조밀한 공간들의 두 패턴으로 분해하는 것을 도시하고;
- 도 4는 하드 마스크(hard mask) 및 레지스트층이 제공된 리소그래피 기판을 도시하고;
- 도 5는 제 1 노광의 실시 및 하드 마스크의 제 1 에칭을 포함하는, 본 발명에 따른 이중 노광 패터닝 방법의 실행 결과를 도시하고;
- 도 6은 제 2 노광의 실시 및 하드 마스크의 제 2 에칭을 포함하는, 본 발 명에 따른 이중 노광 패터닝 방법의 결과를 도시하고;
- 도 7은 본 발명에 따른 리소그래피 장치를 도시하고;
- 도 8은 서로에 대한 타겟 패턴, 마스크 패턴 및 레지스트층의 피처 크기를 도시하고;
- 도 9는 본 발명의 일실시예에 따른 서로에 대한 타겟 패턴, 마스크 패턴 및 레지스트층의 피처 크기를 도시한다.
본 발명은 일반적으로 포토리소그래피 및 반도체 기판을 노광하기 위한 관련된 방법에 관한 것이다.
리소그래피 노광 장치는 예를 들어 집적 회로(ICs)의 제조에 사용될 수 있다. 이러한 경우, 패터닝 디바이스(예를 들어 마스크 패턴이 제공된 마스크 또는 레티클)는 IC의 개별 층에 대응하는 회로 패턴을 만들 수 있다. 포토리소그래피에서, 방사선 빔은, 빔이 레티클을 가로지르도록 함으로써 패터닝되며, 레지스트 내에 원하는 패턴을 이미징하기 위해서와 같이, 광-활성 레지스트(즉 포토레지스트) 재료의 층으로 코팅된 기판(실리콘 웨이퍼) 상의 타겟부(예를 들어 하나 이상의 다이들을 포함) 상에 리소그래피 장치의 투영 시스템에 의해 투영된다. 일반적으로, 단일 웨이퍼는 차례로 투영 시스템을 통해 연속적으로 조사되는 인접 타겟부들의 전체 네트워크를 포함할 것이다.
반도체 산업에서, 웨이퍼 기판 상에 작은 패턴들 및 피처들(features)을 갖는 소형 반도체 디바이스의 연속적인 수요가, 리소그래피 노광 장치에 의해 달성될 수 있는 광학 레졸루션(resolution)에 대한 함계에 압력을 가하고 있다(push).
일반적으로, 리소그래피 노광 장치에 의해 광학적으로 해상될 수 있는 웨이퍼 기판 상에 노광된 패턴의 재현가능한 피처의 가장 작은 크기(예를 들어, "반-피치(half-pitch)")는 방사선의 투영 시스템 및 (패터닝된) 투영 빔의 속성에 좌우된다. 특히, 반-피치 피처 크기에 대한 광학 레졸루션은 단순화된 형태의 레일라이 레졸루션 방정식(Rayleigh resolution equation)을 사용하여 유도될 수 있다:
p0 .5=k1·λ/NA, k1≥0.25
단, p0 .5는 재현가능한 피처 크기(예를 들어 "반-피치")를 nm로 나타내고;
NA는 투영 시스템의 개구수(numerical aperture)를 나타내고;
λ는 투영 빔의 파장을 nm로 나타내고;
k1은 반-피치 피처 크기의 달성가능한 광학 레졸루션 한계에 대한 대표적인 인자이다.
상기된 바와 같이, k1 에 대한 이론적인 광학 레졸루션 반-피치 하한은 0.25이다. k1 = 0.25 배리어 접근 시도에서, 더 짧은 파장들 및/또는 더 높은 개구수들을 사용할 수 있는 고비용 기술들을 발전시키기 위해 상당한 노력이 기울여졌고, 이에 따라 k1 ≥0.25 제한에 접근하는 더 작은 피처들의 제조가 가능하게 되었다.
집적 회로 패턴의 제작은 피처들 간의 공간 허용오차(tolerences)의 제어와, 피처 치수 허용오차의 제어를 포함한다. 특히, 예를 들어 콘텍트들의 크기 또는 선들이나 집적 회로 디바이스의 제작에 허용된 선들 사이의 공간들의 폭과 같은 가장 작은 치수들의 허용오차를 제어하는 것은 중요하다. 이들 대부분의 임계 치수들의 크기는 임계 치수("CD")라고 칭한다. CD와 실질적으로 동일한 최소 크기를 포함하는 피처들은 본 명세서에서 "CD-크기화된(sized) 피처"로서 칭한다.
또한, 라인-말단 수축(line-end retraction), 모서리 라운딩(rounding), 피치에 대한 CD의 편차, 마스크 오차 인자("MEF"), 라인-모서리 조도(roughness)("LER")와 같은, 낮은 k1 이미징을 수반하는 다양한 현상은 허용오차를 넘어 피처 충실도(fidelity) 손실에 이를 수 있다. 특히, MEF는 폴리실리콘 게이트들의 길이들의 편차들에 기여하고, 집적 회로의 성능을 감소시킨다. MEF는 마스크 상의 대응하는 피처들의 대응하는 크기의 변화에 반응하여 레지스트 내의 CD-크기화된 피처들의 CD 변화의 비율로서 정의되며, 이에 따라 후자의 크기는 투영 시스템의 축소를 고려하여 기판 수준으로 표준화된다. 포토리소그래피의 분야에서, 마스크 오차 인자(Mask Error Factor)는 선택적으로, 마스크 오차 강화 인자(Mask Error Enhancement Factor)("MEEF")라고도 칭한다. 두 개념을 동일하며, 본 명세서에서는 마스크 오차 인자 또는 MEF라고 칭한다.
상기된 바와 같은 리소그래피 장치는 일반적으로 방사선 시스템 및 투영 시 스템을 포함한다. 방사선 시스템을 일반적으로 조명 시스템을 포함한다. 조명 시스템은 레이저와 같은 소스로부터의 방사선을 수용하고, 패터닝 디바이스(예를 들어 마스크 테이블 상의 마스크)와 같은 대상물을 조명하는 조명 빔을 생산한다. 일반적인 조명 시스템 내에서, 조명 시스템의 퓨필(pupil) 평면에서 빔이 원하는 공간의 세기 분포를 갖도록 빔은 형성(shaped) 및 제어된다. 퓨필 평면의 이러한 공간 세기 분포는 조명 빔을 생산하기 위한 실제 방사선 소스로서 효과적으로 작용한다. 어두운 배경 상에 (실질적으로 균일한) 빛 영역들로 구성되는 상기 세기 분포의 다양한 형태가 사용될 수 있다. 임의의 이러한 형태는 이후 "조명 모드"로 칭할 것이다. 공지된 조명 모드에는: 통상적인(상기 퓨필 내 탑-해트(top-hat) 형 세기 분포), 원형, 쌍극자(dipole), 4극자 및 보다 더 복잡한 형태의 배치의 조명 퓨필 세기 분포가 포함된다. 상기 퓨필 평면 내 측면 위치는 패터닝 디바이스의 입사각에 대응하고, 이러한 입사각은 일반적으로 투영 시스템의 개구수(NA)의 분획 시그마(fraction sigma)(σ)로서 나타낸다. 따라서, 조명 시스템의 퓨필 내 세기 분포의 보다 완전한 특징화는 조명 모드의 지시 외에, 예를 들어 σ 및 NA와 같은 조명 모드의 파라미터들의 지시도 포함한다. 조명 모드 및 상기 조명 모드의 대응하는 파라미터들의 조합은 이후 "조명 세팅"이라고 칭한다. 공지된 조명 세팅에는: "통상적인" 조명 세팅(이에 의해 조명 퓨필 내 세기 분포는 σ(0<σ<1)의 파라미터 값 및 투영 시스템의 개구수(NA)의 파라미터값으로 정의된 특정 반경까지 실질적으로 균일하다.), 원형 세팅, 쌍극자 세팅, 4극자 세팅 및 보다 복잡한 배치가 포함된다. 원형 또는 다극자 세팅은 일반적으로, 고리(annulus)의 또는 극(poles)의 내부 및 외부 반경 범위를 나타내는 파라미터들 σ내측 및 σ외측로 각각 특징화된다. 이러한 조명 모드들은 패터닝 디바이스의 오프-축(off-axis) 조명을 제공하고 있다. 조명 세팅들은 다양한 방식들로 형성될 수 있다. 원형 모드의 σ내측 및 σ외측 값들이 줌-액시콘(zoom-axicon)을 사용하여 제어될 수 있는 반면 통상적인 조명 모드의 σ 값은 줌 렌즈들을 사용하여 제어될 수 있다. NA 값은 투영 시스템 내 세팅가능한 조리개를 사용하여 제어될 수 있다.
(상기 쌍극자 및 4극자 모드와 같은) 보다 복잡한 세팅들은 퓨필 평면 내 적당한 개구들(apertures)을 갖는 조리개를 사용하여 또는 회절 광학 요소에 의해 형성될 수 있다. 전형적으로, 상기 회절 광학 요소는 조명 시스템의 퓨필 평면 상류의 미리선택된 각도 세기 분포를 생성하기 위해 배치된다. 이러한 각도 세기 분포는 조명 시스템의 퓨필 평면의 대응하는 공간 세기 분포로 변환된다.
특히 고도의 개구수(NA>0.85)에서 패터닝 디바이스를 조명하기 위한 오프 축 조명 모드들을 사용하여, MEF 및 LER은, 이에 의해 작업이 레지스트 내에 트렌치들 또는 선들의 반-조밀한 규칙적으로 공간을 둔 패턴들, 임계 치수로 크기화된 폭 및 임계 치수에서 약 세 배 떨어져 공간을 둔 피처들을 프린트하려는 것인 리소그래피 처리에 대해 k1의 추가 감소를 제한하는 가장 중요한 오차이다.
본 발명의 실시예는 레지스트층을 패터닝하기 위한 리소그래피 노광 처리를 개선한다. 본 명세서에 구체화되고 폭넓게 기재된 본 발명의 원리와 일치하는 방법 은 리소그래피 시스템의 이미지 레졸루션의 증진을 제공한다.
대상물 피처 폭을 갖는 대상물 피처 및 인접 공간의 주기적 배치를 포함하는 마스크 패턴을 조명하는 단계, 마스크 패턴을 기판 상에 축소하여 투영하는 단계, 각각의 대상물 피처들이 각 인접 공간들의 휘도(brightness)보다 낮은 휘도로 이미징되는 마스크 패턴의 이미지로 레지스트층을 노광하는 단계를 포함하고, 0.8보다 낮은 값의, 축소도를 곱하고 타겟 피처 폭으로 나눈 대상물 피처 폭으로서 정의된 비율을 배치하는 단계, 및 상기 0.8보다 낮은 비율에 대해 보정하여 상기 타겟 패턴을 제공하는 단계를 더 포함하는, 리소그래피 기판을 적어도 일부 오버레잉하는 레지스트층을 타겟 피처 폭을 갖는 타겟 피처 및 인접 공간의 주기적 배치를 포함하는 타겟 패턴으로 패터닝하는 레지스트층을 패터닝하는 방법이 제공된다.
본 발명의 한 측면에 따르면, 타겟 패턴의 주기적 배치가 타겟 피치를 가지고, 타겟 피치로 나눈 타겟 피처 폭으로서 정의되는 타겟 듀티 사이클(duty cycle)은 0.7 내지 0.8의 어떤 값 중 하나인 것을 특징으로 하는 상기된 바와 같은 방법인 제 2 방법이 제공된다.
본 발명의 한 측면에 따르면, 원하는 패턴을 두개의 인터포즈된(interposed) 타겟 패턴들, 각각 제 1 타겟 패턴 및 제 2 타겟 패턴으로 스플리팅(splitting)하는 단계로서, 각 타겟 패턴은 타겟 피처에 인접하여 배치된 원하는 공간을 갖는 타겟 피처의 주기적 배치를 포함하는 단계; 상기 기판을 적어도 부분적으로 오버레잉하면서, 제 1 레지스트층을 상기 기판에 제공하는 단계, 상기 제 1 레지스트층을 상기 제 2 방법에 따라 상기 제 1 타겟 패턴으로 패터닝하는 단계, 상기 제 1 레지스트층을 현상하는 단계; 상기 기판을 적어도 부분적으로 오버레잉하면서, 제 2 레지스트층을 상기 기판에 제공하는 단계, 상기 제 2 레지스트층을 상기 제 2 방법에 따라 상기 제 2 타겟 패턴으로 패터닝하는 단계를 포함하고, 상기 투영은 상기 제 1 타겟 패턴의 공간들과 인터포우즈된 관계로 제 2 타겟 패턴의 공간들을 위치시키도록 배치되는 것을 특징으로 하는, 원하는 피처 및 인접한 원하는 공간의 주기적 배치를 포함하는 원하는 패턴을 기판에 제공하는 방법이 제공된다.
본 발명의 또다른 측면에 따르면, 대상물 피처 폭을 갖는 대상물 피처 및 인접 공간의 주기적 배치를 포함하는 마스크 패턴을 조명하는 단계, 상기 마스크 패턴을 상기 기판 상에 축소하여 투영하는 단계, 상기 기판을 적어도 부분적으로 오버레잉하는 레지스트 층을 각각의 대상물 피처들이 개별 인접 공간들의 휘도보다 낮은 휘도로 이미징되는 상기 마스크 패턴의 이미지로 노광하는 단계, 및 0.8 보다 낮은 바이어스 비율에 대해 보정하는 단계로서, 상기 바이어스 비율은 축소도를 곱하고 상기 타겟 피처 폭으로 나눈 상기 대상물 피처 폭으로 정의되는 단계를 포함하는, 리소그래피 장치를 제어하여, 기판을 적어도 부분적으로 오버레잉하는 레지스트 층을 타겟 피처 폭을 갖는 타겟 피처 및 인접 공간의 주기적 배치를 포함하는 타겟 패턴으로 패터닝하는 방법을 수행하기 위한 프로그램 코드를 포함하는 컴퓨터 프로그램 제품이 제공된다.
본 발명의 또다른 측면에 따르면, 컴퓨터 프로그램 제품을 실행(run)하고 상기된 바와 같이 보정을 수행하도록 구성된 제어기를 포함하는 리소그래피 장치가 제공된다.
상기된 바와 같이, 예를 들어 선들 및 공간들의 조밀 또는 반 조밀 패턴들과 같은 피처들 및 피처들 간의 공간들의 패턴들을 프린팅하기 위하여, 더 미세한 광학 레졸루션을 달성함으로써 0.25의 이론적인 반-피치 하한 k1에 접근할 필요성은 항상 존재한다. 리소그래피 패터닝 처리의 레졸루션을 증진시키는 것 이외에, 피처 충실도를 유지할 필요성이 존재한다. 마스크 패턴은 타겟 패턴, 즉 원하는 패턴과실질적으로 유사한 유리 패턴 상의 크롬으로서 구체화될 수 있다. 그러나, 예를 들어 전자 빔 라이팅(writing)에 의해 크롬의 피처들의 패턴이 제공되어 있는 석영 기판으로서 구체화된 마스크 패턴의 제조동안, 잔류-패턴-라이팅 오차들이 일어날 수 있다. 이러한 오차들은 타겟부에 전사된 리소그래피 처리에 의한 것이며, 이러한 패턴 오차들에 대한 리소그래피 처리의 감도는 말하자면 마스크 오차 인자로 표현된다. 마스크 오차 인자의 감소는 중요한 장점을 제공할 것이다.
상기된 바와 같이, 낮은 k1 이미징을 수반하는 마스크 오차 인자(MEF)의 현상은 허용오차를 넘는 피처 충실도 손실에 이를 수 있다. MEF는, 마스크 상의 대응하는 피처들의 대응하는 크기(PS)의 변화(△RS)에 반응하는, 레지시트 내 CD-크기화된 피처 폭(RS)의 변화(△RS)의 비율로서 정의되며, 이에 의해 전자의 크기(PS)는 투영 시스템의 축소도(demagnification)(M)을 고려하여 기판 수준으로 표준화된다(M의 크기는 예를 들어 1/4, 1/5 또는 1/8이 될 수 있다).
MEF = △RS/(△PS·M)
간단하게, 본 명세서 및 식에서 스케일링(scaling) 인자(M)는 언급되거나 언급되지 않을 수 있지만, 마스크 수준에서 치수들을 논하는 경우에 고려되는 것으로 생각된다. 마스크 오차 인자의 존재 하에, 노광된 레지스트의 이미징 성질들과 조합한 투영 시스템을 사용한 이미징 처리에 의해 제공되는 바와 같은 피처 충실도는, 허용오차를 넘어서는 등으로 영향받을 수 있다. 이하, 식 및 도면에서, M은 1과 동일하게 설정된다; 그러나, 본 발명은 M=1인 처리 및 장치에 제한되지 않는 것으로 생각된다.
MEF의 발생을 설명하기 위하여, 레지스트 패터닝 처리를 도 8에 개략적으로 나타낸다. 처리에서, 타겟 피처(81) 및 인접 공간(801)(이는 예를 들어 선형 피처(81) 및 인접 공간(801)이 될 수 있다)의 주기적 배치를 포함하는 타겟 패턴(800)은, 기판 상의 층(820)으로서 제공된 포지티브 톤(tone) 레지스트 내에 프린팅될 것이다. 주기적 배치의 피치는 도 8의 TP로 지시되며, 타겟 피치로서 칭한다. 레지스트 층(820)을 노광하기 위해 마스크 패턴(810)이 기판 상에 조명 및 투영된다. 마스크 패턴(810)은 타겟 패턴에 따라 대상물 피처(82) 및 인접 공간(802)의 주기적 배치를 포함한다. 대상물 피처(82)는 대상물 피처 폭(PS)를 가지며, 인접 공간(802)은 25nm의 임계 치수(CD)의 폭을 갖는다. 또한, 대상물 피처 및 인접 공간이 주기적 배치(810)로 일어나는 피치는 대상물 피치(OP)라 칭한다(따라서, 비교적 좁은 공간들(802)이 피치(OP)에 배치된다). 본 처리에서, 피치(OP)는 100nm이다. 따라서, 대상물 피처들(82)은 75nm, 즉 임계 치수(CD)의 세배의 폭을 갖는다. 노광 처리는 예를 들어 감쇄된 위상 시프트 마스크(attenuated Phase Shift Mask)(att-PSM)를 사용하여 실행될 수 있다. 이러한 마스크를 사용하여, 대상물 피처들은 위상 시프팅, 예를 들어 석영 기판 상의 임계 치수(CD)의 세 배, 즉 75nm의 폭을 갖는 규소화몰리브덴(MoSi)의 방사선 흡수 피처들(그러나, 작은 퍼센트의 방사선을 통과)으로 구체화된다. 대상물 피처들(82)은 기판 수준에서 마스크 패턴의 이미지 내 어두운 피처들로서 이미징되고, 미노광된 레지스트 재료 피처들(83)이 얻어지는 반면, 공간(802)은 이미지 내 밝은 영역으로서 이미징되고, 광활성화된 레지스트의 일부분(803)이 얻어진다.
본 명세서에 기재된 바와 같은 타겟 패턴의 주기적 배치는 또한, 패터닝된 레지스트 층 내 피처들의 치수를 참조하여, 타겟 피치(TP)로 나눈 타겟 피처 폭(TS)으로 정의된 타겟 듀티 사이클(TDC), 및 마스크 수준의 피처들의 치수를 참조하여, 대상물 피치(OP)로 나눈 대상물 피처 폭(PS)으로 정의된, 대상물 듀티 사이클 또는 패턴 듀티 사이클로도 칭하는, 마스크 패턴 듀티 사이클(PDC)에 의해 특징화될 수 있다. 본 처리에 대해, 듀티 사이클(TDC, PDC)은 동일하다;
TDC = PDC = 75 [nm] / 100 [nm] = 0.75.
리소그래피 패터닝 처리를 추가적으로 특징화하기 위해, 바이어스-비율, 또는 비율, 또는 바이어스라고도 칭하는 파라미터(BR)는, 타겟 패턴의 피처들의 타겟 피처 폭(TS)에 대한 투영 시스템의 축소도에 의해 스케일링된 대상물 피처 폭(PS·M)의 비율로서 정의되고:
BR = PS·M / TS
본 처리에 대해 BR = 1 (M=1) 이다. 도 9는 비율(BR)의 정의를 개략적으로 나타낸다.
일반적으로 마스크-패턴 피처들의 부가적인 크기 편차는 예를 들어 패턴의 투영 및 노광동안 일어나는 오차들의 작용들을 보정(compensate)하기 위해 도입된다. 마스크-패턴 피처들의 이러한 바이어싱(biasing)은 약 10 %까지의 명목상의 크기에 대한 상대적 크기 편차들을 포함할 수 있다. 바이어스의 적용 결과 타겟 듀티 사이클(TDC)로부터 약간 벗어나는 대상물 듀티 사이클(PDC)가 얻어진다. 예를 들어, 상기된 처리에서, 공간들의 폭은 인자 1.2로 증가되는 반면 공간의 피치는 100nm로 유지될 때, 패턴 듀티 사이클(PDC)은 0.7이고 바이어스 비율(BR)은 0.93이다. 그러나, 일반적으로, 바이어스-비율(BR)의 단일성(unity)으로부터의 편차는 약 + 또는 - 0.1로 제한된다.
타겟 패턴 및 마스크 패턴이 바이어스 비율(BR) 0.9 내지 1.1인 주기적인 선 및 공간 패턴인 처리에 대해 일반적인, MEF의 크기의 예는 도 1에 도시한다. 도 1에서, 수평 축은 마스크 패턴의 선 폭(PS)을 나타내고, 수직축은 이상적으로는 타겟 폭(TS)에 대응하는, 레지스트 내 패터닝된 바와 같은 선들의 얻어지는 폭(83)을 나타낸다. 도 1의 폭(83) 대 폭(PS)의 플롯의 경사-각(A)의 탄젠트는 본 리소그래피 처리에 대한 마스크 오차 인자를 나타낸다. 처리는 또한, 0.93의 NA, 50nm의 레지스트 층 두께, 및 σ내측 = 0.82 및 σ외측 = 0.96을 갖는 쌍극자(TE) 편광된 조명 모드에 대해 보정된(calibrated) 포지티브 톤 레지스트 모델에 의해 특징화된다. MEF의 값은 마스크-패턴 피처의 폭에 따라 크게 변하며 약 10이다.
본 발명의 일 실시예에 따르면, 0.8 이하의 바이어스-비율(BR)의 값을 선택함으로써 본 처리의 MEF는 실질적으로 감소될 수 있다. 본 실시예에서, 바이어스-비율은 0.67로 선택된다. 이는, 마스크의 선들 및 공간들이 모두 50 nm 폭의 선들 및 공간들로 구체화된다는 것을 의미한다. 대상물 듀티 사이클(PDC)는 0.5이고, 원하는 타겟 듀티 사이클(TDC)는 0.75이다.
도 2는 상기된 바와 동일한 조명 조건 하에서 마스크 패턴 오차들에 대해 얻어지는 감도를 도시한다. MEF는 2.9 내지 3.8 범위의 값으로 감소된다. 도 9의 개략 설명에서, 대상물 피처(82)의 바이어스는 타겟 피처의 위치에 대해 비대칭적으로 적용된다. 본 발명은 이러한 비대칭 바이어스에 제한되는 것이 아니라, 바이어스는 상이하게 배치될 수 있고 특히 바이어스는 대응하는 타겟 피처에 대해 대칭 배치될 수 있는 것으로 생각된다.
본 실시예에서, 원하는 타겟 듀티 사이클(TDC=0.75)은 0.67의 적용된 바이어스에 대해 패터닝 처리를 보정함으로써 얻어진다. 보상은 0.75 대신 0.5로 세팅되는 패턴 듀티 사이클(PDC)에 대해 보정하기 위해 노광량(exposure dose)을 조정하는 것을 포함한다. 노광량의 이러한 조정은, 기판 수준에서 방사선 패턴의 노광 면적 내의 노광량의 조정을 유발한다. 분석의 목적으로, 이러한 패턴은 투영 시스템에 의해 제공되는 바와 같은, 공기 중의 이미지(image in air)로서 고려될 수 있다. 본 실시예에서, 공기 이미지의 콘트라스트는 상기된 통상적인 처리의 공기 이미지의 콘트라스트보다 훨씬 크며, 이에 따라 TDC = 0.75 및 0.9 < BR < 1.1 이다. 따라서, 노광량이 에너지-대-클리어(energy-to-clear) 이하인 공기 이미지의 면적을 브로드닝(broaden)하기 위해, 종래 기술 처리의 노광량에 대한 노광량의 저하가 이용될 수 있다. 여기서, "에너지-대-클리어"의 개념은, 노광량의 임계값, 소위 에너지-대-클리어에서 일어나는 포지티브 톤 레지스트 내 불용성으로부터 가용성까지(네거티브 톤 레지스트 내 가용성으로부터 불용성까지)의 용해도의 변화와 관련 있다. 처리된 타겟 패턴 내 선 모서리 조도 오차(line edge roughness error)는 마스크 패턴 내 포함된 선들의 선 모서리 조도에 따라 좌우되므로, 본 발명에 따라 얻어지는 바와 같은 MEF의 감소로 인해 또한 LER의 감소에 이른다.
제 2 실시예는 타겟 듀티 사이클(TDC)이 0.75와 상이하다는 점을 제외하고는 제 1 실시예와 동일하다. 본 발명에 따르고 제 1 실시예에 기재된 바와 같은 방법의 장점은, 비-임계적으로(non-critically) 크기화된 선들에 인접한 공간들의 반 조밀 타겟 패턴들에 대해 실질적으로 동일하다. 이 실시예에서, 타겟 패턴의 선들은 70 nm 내지 80 nm 범위의 선폭을 가지고, 선들 및 공간들의 타겟 피치는 100 nm이다. 따라서, 본 발명에 따르면, 타겟 듀티 사이클(TDC)의 값은 0.7 내지 0.8 범위로부터 선택될 수 있다. 본 발명의 한 측면에 따르면, 이러한 범위의 타겟 패턴 선폭은, 임의의 선택된 타겟 패턴 듀티 사이클들을 유지하기 위한 노광량의 조정과 조합하여 100 nm의 피치(스케일 인자(M) 무시)에서 20 nm 내지 55 nm 범위의 마스크 패턴 선폭을 사용하여, 즉 0.2 내지 0.55 범위의 값을 갖는 패턴 듀티 사이클(PDC)로 제공될 수 있다. 100 nm의 피치에서 20 nm 내지 55 nm의 범위의 패턴 선폭을 사용하여, 본 실시예에서, 바이어스 비율(BR)은 0.25의 가장 낮은 값 및 0.78, 즉 0.8 이하의 가장 큰 값을 갖는다.
본 발명에 따르면, 레지스트 내 선들 및 공간들의 패턴에 포함된 "공간"의 개념은 레지스트의 현상 후 얻어진 바와 같은 공간에 제한되지 않는다. 본 발명의 장점은 예를 들어 노광 후 베이크(post exposure bake) 및 레지스트의 현상과 같은, 노광 후 리소그래피 처리와 상관없이 얻어진다.
결과적으로, 제 3 실시예에서(이는 제 1 및 제 2 실시예와 동일), 타겟 패턴의 선들은 미노광 포지티브 톤 레지스트 재료의 선들이며, 타겟 패턴의 공간들은 광활성화된 포지티브 톤 레지스트 재료의 일부분들로서 구체화되고, 이들 일부분들은 타겟 패턴의 공간들에 따라 형성된다(shaped).
제 4 실시예는, MEF의 추가적인 감소가 제 4 실시예에서 제공되는데, 패턴 듀티 사이클(PDC)이 PDC = 0.25(25 nm의 패턴 선들 및 75 nm의 패턴 공간들)이고 타겟 듀티 사이클 TDC = 0.5(50 nm 폭의 타겟 패턴 선들 및 50 nm 폭의 타겟 패턴 공간들)인 점을 제외하고는 제 1 실시예에서와 동일하다. 바이어스 비율(BR)은 0.5이고 얻어질 수 있는 MEF는 2이다.
본 발명의 한 측면에 따르면, 이들 실시예들의 공간들 대신 노광된 네거티브 톤 레지스트 재료의 대응하는 피처들 (및 미노광된 레지스트의 현상 후 기판의 표면으로부터의 돌출(protruding))이 제공되어야 하는 경우, 제 1의 네 실시예들 중 어느 것에 (포지티브 톤 레지스트 대신) 네거티브 톤 레지스트가 사용될 수 있다. 이어서, 마스크 패턴의 이미징이 실질적으로 제 1의 네 실시예들에서와 동일하므로 유사한 MEF 감소가 얻어진다.
본 발명의 또다른 측면에 따르면, 제 1의 네 실시예들 중 어느 것에 적용된 바이어스에 대한 보정은, 노광된 레지스트의 현상(용해) 및 피처 수축(shrink) 처리 적용, 또는 노광량 조정 및 현상 후 피처 수축 적용의 조합을 포함한다. 레지스트 현상시 얻어진 패턴의 공간들의 폭을 감소시키기 위해 피처 수축 처리가 배치될 수 있다. 예를 들어, (RELACSTM 재료를 사용한 공간들의 오버코팅, 믹싱/베이크 처리 적용, 기판 냉각 및 탈이온수에 의한 세정과 같은) 화학 처리를 적용시켜 공간들의 수축이 제공되거나, 또는 피처들을 수축시키기 위한 열적 레지스트 흐름 처리가 사용될 수 있고 제어된 방식으로 50 nm 수축까지의 피처 크기 수정을 가능하게 하는 것으로 나타났다.
상기된 바와 같이, 미세한 광학 레졸루션 달성의 필요성이 항상 존재한다. 본 발명에 따르면, 이중 노광 처리를 사용하여 조밀 선들을 프린팅하고 상기된 단일 노광 실시예들의 장점을 이용하기 위해 0.25의 이론적 반-피치 하한 k1을 우회하는(circumventing) 것이 가능하다.
이하 더 상세히 기재되는 바와 같이, 본 발명은 두 노광들 사이에 레지스트의 노광 후 베이크("PEB"라고도 칭함)를 적용하거나 선택적으로 레지스트의 연이은 현상과 조합한 노광 후 베이크를 적용하는 듀얼 노광 기술을 이용함으로써, 반-피치 하한 반 피치 p0 .5=k1·λ/NA(이에 의해 k1=0.25이고 따라서 k1 = 0.25 배리어를 우회)보다 낮은 레졸루션들을 달성한다. 레지스트를 현상한 후 얻어진 레지스트 패턴은 레지스트층 아래 배치된 하드 마스크를 에칭하기 위한 에칭 마스크 역할을 할 수 있다.
본 발명의 한 측면에 따르면, 리소그래피 듀얼 노광 패터닝 처리는 피처들을 프린팅하기 위한 포지티브 톤 레지스트의 배타적인 사용을 포함한다(이에 의해 k1 < 0.25).
본 발명의 한 측면에 따르면, 제 1의 세 실시예들 중 어느 것에 기재된 바와 같은 레지스트 층을 패터닝하는 방법은 이중 노광 리소그래피 처리에 2회 적용된다. 본 발명은 (예를 들어 조밀한 선들 및 공간들과 같은) 조밀한 CD-크기화된 피처들 및 CD-크기화된 공간들의 원하는 패턴을 반 조밀한, CD-크기화된 공간들 (및 비-임계적으로 크기화된 피처들 또는 선들)의 제 1 및 제 2 타겟 패턴으로 분해하는 것을 포함한다. 공간들은 각 노광에 대한 포지티브 톤 레지스트를 사용하여, 서로에 대해 인터포즈되거나(interposed) 섞어짜여진(interlaced) 위치에서 프린팅된다. 두 마스크 패턴들 또는 대상물 패턴들은 두 개별 타겟 패턴들과 관련있으며, 각 대상물 패턴들은 단일 레티클 또는 두 개별 레티클 상에 배치될 수 있다. 어떤 피처 바이어싱(biasing)의 부재시, 각 대상물 패턴의 피처들은 임계 치수(CD)의 약 3배의 폭을 가지는 반면, 이러한 비-임계적인 피처에 인접한 공간은 CD-크기화된다. 따라서, 타겟 듀티 사이클(TDC)은 0.75 이거나 약 0.75일 것이다. 그러나, 본 발명에 따르면, 각각의 노광은 두 대상물 패턴들의 대상물 피처들에 대해 바이어스 비율(BR)을 0.8 이하의 값까지 적용함으로써 선행되어, 두 대상물 패턴들의 듀티 사이클(PDC)은 0.6 보다 낮다. 이는, 타겟 패턴들의 CD-크기화된 공간들이 반 조밀 공간들의 두 대응하는 마스크 패턴들의 상대적 공간-폭 와이드닝된 공간들에 대응한다는 것을 의미하고, 이에 의해 공간-폭 와이드닝에 대표적인 인자는 1 내지 3의 값을 가지고(투영 시스템의 축소 인자는 무시), 이에 의해 마스크 오차 인자 및 선 모서리 조도는 감소한다. 이하 실시예는 본 발명의 이러한 측면을 더 상세히 설명한다.
본 발명의 제 5 실시예에 따르면, 도 3에 개략 나타내는 바와 같이, 리소그래피 기판을 적어도 일부 오버레이하는 재료 층을 선들 및 공간들의 원하는 조밀한 패턴(DL)으로 패터닝하는 이중 노광 방법이 제공된다. 도 3은 원하는 피처(선)(31) 및 인접한 원하는 공간(301)의 주기적 배치를 도시하고; 주기적 배치의 피치(DP)는 패턴(DL)의 원하는 피치이다. 원하는 패턴(DL)은 두개의 인터포즈된 타겟 패턴들, 각각 제 1 타겟 패턴(SDS1) 및 제 2 타겟 패턴(SDS2)으로 스플리팅된다. 원하는 패턴의 스플리팅을 위해, 규칙에 근거하거나 모델에 근거한 마스크 패턴 설계 소프트웨어가 사용될 수 있다. 각각의 타겟 패턴(SDS1, SDS2)은 개별 타겟 선 피처(32, 34) 및 타겟 피처에 인접한 개별 타겟 공간(302, 304)의 주기적 배치를 포함한다. 타겟 공간(302, 304)의 폭은 도 3에 도시된 바와 같이 원하는 공간(301)의 폭과 동일하다. 이 방법은 두 연속적 포지티브 톤 레지스트 층 패터닝들을 포함하고, 이에 의해 두 개별 타겟 패턴들(SDS1, SDS2)의 공간들(302, 304)은 기판 상에 서로에 대해 섞어짜여진 위치에 위치된다. 패턴들(SDS1, SDS2)은 원하는 패턴(DL)을 제공하기 위해 재료 층에 전사될 수 있다.
본 실시예에서, 도 4에 도시된 바와 같이, 앞서 처리된 IC 층들을 운반할 수 있는 기판(W)은 타겟층(TL), 타겟층(TL)의 최상부 상의 하드 마스크층(HM), 및 하드 마스크 층(HM)의 최상부 상의 포지티브 톤 레지스트층(RL1)이 제공된다.
타겟 듀티 사이클(TDC1)을 갖는 타겟 패턴(SDS1)을 사용한 제 1 패터닝은 타겟 패턴(SDS1)에 따라 마스크 패턴(MP1)을 제공하고, 대상물 듀티 사이클(PDC1) 및 바이어스-비율(BR1)을 갖는 것을 포함한다. 낮은 MEF의 장점을 이용하기 위해 바이어스-비율(BR1)은 0.8보다 낮게 선택된다.
본 실시예에서, 연속적인 노광 조건은 제 1 실시예에서와 동일하다. 예를 들어, 개별 타겟 패턴들(SDS1, SDS2)의 타겟 듀티 사이클(TDC1, TDC2)은 모두 0.75이고, 개별 마스크 패턴들(MP1, MP2)의 대상물 듀티 사이클들(PDC1, PDC2)은 모두 0.5이며, 두 마스크들의 피처들에 대한 개별 바이어스-비율들(BR1, BR2)은 0.67이다.
레지스트 층(RL1)은 마스크 패턴(MP1) 및 레지스트 층 상에 마스크 패턴을 이미징하기 위해 배치된 투영 시스템을 사용하여 방사선의 패턴에 노광된다. 노광량은 패턴(SDS1)에 대해 구체화된 바와 같이 타겟 듀티 사이클(TDC1)에 도달하도록 조정된다. 제 1 패터닝에 도 5a에 도시된 바와 같이 레지스트 재료의 에칭 마스크(RM1)를 제공하기 위해 레지스트층(RL1)을 현상시키는 것이 이어진다. 이어서 도 5b에 도시된 바와 같이, 타겟 패턴을 하드 마스크 층에 이동시키기 위해 하드 마스크 층(HM)이 건식 에칭된다. 레지스트 마스크(RM1)은 스트립되고(stripped), 보충 포지티브 톤 레지스트 층(RL2)이 도 5c에 도시된 바와 같이 하드 마스크 층(HM)에 적용된다.
이어서, 제 2 노광이 상기와 동일한 방법으로 수행되어, 타겟 패턴(SDS2)의 공간들(304)이 패턴(SDS1)의 공간들에 대해 섞어짜여진 위치에 배치되도록 마스크(MP2)가 위치된다. 이는 도 5d에 도시된 바와 같이, 이전에 에칭된 하드 마스크(HM)의 공간들(504)에 대해 섞어짜여진 위치에 마스크(MP2)의 공간들(502)을 배치함으로써 실현될 수 있다.
제 1 노광에서와 같이, 낮은 MEF의 장점은 0.8보다 낮은 바이어스 비율(BR2)의 선택에 의해, 및 패턴(SDS2)에 대해 구체화된 바와 같이 타겟 듀티 사이클(TDC2)을 얻기 위해 선택된 바이어스-비율(BR2)에 따라 노광량을 배치함으로써 얻어진다.
노광된 레지스트층(RL2)을 연이어 현상하면, 도 6a에 도시된 바와 같이, 레지스트 재료의 에칭 마스크(RM2)가 제공된다. 이어서, 도 6b에 도시된 바와 같이, 타겟 패턴(SDS2)을 하드 마스크 층으로 전사하기 위해 하드 마스크 층(HM)은 다시 건식 에칭된다. 이어서 레지스트 마스크(RM2)가 스트립되고, 그 결과, 도 6c에 도시된 바와 같이, 예를 들어 원하는 패턴(DL)에 대응하는 하드 마스크(HM)의 패터닝을 제공하기 위해 타겟 패턴(SDS1) 및 보충 타겟 패턴(SDS2)의 전사가 얻어진다.
집적화된 에칭 챔버 내의 앞선 패턴 전사의 일부가 될 수 있는 최종 패턴 전사는, 타겟 층(TL)의 제 3 에칭을 적용함으로써 얻어진다.
본 실시예에서, 레지스트-마스크(RM1, RM2)를 제공하는 것은 또한 레지스트 층의 노광 전 및/또는 후에 다양한 처리들을 포함할 수 있다. 예를 들어, 노광 전(pre-exposure) 처리는 세정, 프라이밍(priming), 및 소프트 베이크 처리들을 포 함할 수 있다. 노광 후, 웨이퍼 기판들은 예를 들어 노광 후 베이크(PEB) 및 하드 베이크와 같은 상이한 노광 후 처리들이 수행될 수 있다. 또한, 임의의 포토레지스트층은 노광 방사선의 배면 반사(back reflection)를 감소시키기 위해 바닥 항 반사 코팅(Bottom Anti Reflection Coating) 또는 현상가능한 바닥 항 반사 코팅(Developable Bottom Anti Reflection Coating)을 포함할 수 있다.
본 발명의 제 6 실시예는, 제 1 노광 후 레지스트층(RL1)의 현상, 하드 마스크 층(HM)의 연이은 에칭 및 레지스트 마스크(RM1)의 스트리핑을 제외하고는, 제 5 실시예와 동일하다. 대신에, 제 1 노광 후 및 제 2 노광 전에, 레지스트 층(RL1)은 노광 후 베이크되며, 이어서 레지스트층(RL1)의 (실질적으로) 미노광된 일부분들은, 앞선 실시예의 제 2 노광 처리에 따라 보충적인 제 2 노광이 수행된다. 노광 후 베이크는, 제 2 노광동안 레지스트가 제 1 노광의 "메모리(memory)"을 갖지 않도록. 레지스트 층(RL1) 내에 제 1 타겟 패턴(SDS1)을 고정하는 효과를 갖는다. 레지스트의 비 선형 화학적 성질들이 패턴의 상기 고정을 위해 사용된다. 전형적으로, KrF 엑시머 레이저들 및 ArF 엑시머 레이저들과 사용하기 위한 포지티브 톤 레지스트들은 화학적으로 증폭된 레지스트들이다. 화학적으로 증폭된 레지스트 재료들의 중요한 구성요소는 광-산(photo-acid) 제너레이터(PAG라고도 함)이며, 이는 광-활성 구성요소이다. 레지스트는 또한 차단된 매트릭스 구조의 베이스(B) 화합물을 포함한다. 이 구성에서, 노광 후, 광-산 제너레이터(PAG)은 광-산(PA+)으로 전환되며, 이의 일부는 베이스(B) 화합물에 의해 중성화된다.
베이킹 처리동안, 반응이 광-산(PA+) 및 중합체 사이에서 촉매작용되어, 베 이킹 후, 중합체는 탈차단되고(deblocked), 전형적인 현상제 용액들에 가용성으로 되고, 광-산(PA+)은 상당히 흩어져 없어진다(dissipated). 예를 들어, 광-유도된 중합체 탈차단 반응은 약 40초의 베이킹 시간 후에 중단될 수 있다, 즉 처음 노광의 메모리를 잃는다. 이어서, 베이킹 시간의 길어짐과 함께 중합체 탈차단의 범위가 증가되지 않도록, 광-산(PA+) 및 중합체 간에 일어나는 화학 반응들은 감소된다. 따라서, 이러한 경우, 40초 베이킹 기간 후, 광-산(PA+)은 궁극적으로 흩어져 없어져, 제 1 (또는 임의의 다른 어떤 선행) 노광의 메모리도 거의 없는 포토레지스트 상의 패턴(SDS1)에 대응하는 안정한 잠재적 이미지 및 비교적 큰 콘트라스트를 제공한다.
현상 및/또는 노광에 대한 포토레지스트층의 반응의 임의의 비-선형성으로 인해, 패턴(SDS1)에 대응하는 레지스트-마스크(RM1)의 피처들의 공간 푸리에 변환은, 리소그래피 장치의 투영 시스템에 의해 제공되는 바와 같이, 마스크-패턴(MP1)의 이미지의 세기 패턴의 공간 푸리에 변환보다 더 고도의 공간 주파수(spatial frequencies)를 포함한다. 유사하게, 마스크-패턴(MP2)의 이미지의 세기 패턴은 마스크-패턴(MP1)의 피처들의 공간 푸리에 변환보다 낮은 공간 주파수를 포함한다. 또한, 상기 이중 노광 실시예에서, 패턴(SDS1)의 하드 마스크(HM)으로의 전사 또는 레지스트 층 내 패턴(SDS1) 고정에 의해, 패턴들(SDS1, SDS2)에 대응하는 두 서브-패턴 이미지들의 혼선(cross talk) 또는 병합이 예방된다. 따라서, 하드 마스크(HM)로 전사된 바와 같은 결합된 패턴(DL)의 공간 푸리에 변환은 또한 반 피치 p0.5 = k1·λ / NA의 역에 대응하는 것보다 큰 공간 주파수를 포함하며, 이에 의해 한계 k1 ≥ 0.25가 유효하다. 원칙적으로 상기 고도의 주파수의 존재는 k1 = 0.25 배리어의 우회가 가능하도록 한다.
본 발명의 한 측면에 따르면, 제 5 및 제 6 실시예는 타겟 듀티 사이클들 및 마스크 패턴 또는 대상물 듀티 사이클들에 대해 선택된 특정 값들로 제한되지 않는다. 예를 들어, 본 발명에 따른 단일 노광 처리들과 유사하게, 타겟 듀티 사이클들은 0.7 내지 0.8의 값을 가질 수 있으며, 패턴 듀티 사이클들은 0.2 내지 0.55의 값을 가질 수 있다.
본 발명의 또다른 측면에 따르면, 임의의 상기된 실시예들은 선들 및 공간들을 포함하는 패턴들에 제한되지 않는다. 본 발명은 일반적으로 예를 들어 (본 발명에 따른 단일 노광 처리들을 사용한) 반 조밀한 패턴들 내에 배치된 트렌치들 및 (본 발명에 따른 이중 노광 처리들을 사용한) 트렌치들의 조밀한 패턴들과 같은 피처들의 프린팅에 적용가능하다.
제 7 실시예는, 이하 기재된 상세한 설명 부분을 제외하고는 제 5 실시예와 동일하다. 본 발명의 제 7 실시예에 따르면, 이중 노광 프린팅 방법은 제 4 실시예에 기재된 바와 같은 두가지 노광들을 사용하고, 이에 의해 2의 값 아래로의 MEF의 추가 감소가 각 노광에 제공된다. 따라서, 두 노광들에 대해, 개별 대상물 듀티 사이클(PDC1, PDC2)이 PDC1 = PDC2 =0.25(25nm의 패턴 선들 및 75 nm의 패턴 공간들)로 제공되고, 개별 타겟 듀티 사이클들(TDC1, TDC2)이 TDC1 = TDC2 = 0.5 (50nm 폭 의 타겟 패턴 선들 및 50 nm 폭의 타겟 패턴 공간들)로 주어져, BR1 = BR2 = 0.5이다.
제 7 실시예는 또한, 두 노광들 사이의 에칭 단계 및 제 2 노광 후의 에칭 단계가 피처 수축 처리를 적용함으로써 각각 보충된다는 점에서 제 5 실시예와 상이하다. 피처 수축 단계는, 패턴(SDS1, SDS2)의 공간들의 폭을 예를 들어 30nm 아래로 감소시키기 위해 배치되며, 제 5 실시예에 기재된 바와 같이, 공간들의 섞어짜여진 위치설정이 가능하도록 한다. 예를 들어, 하드 마스크 층(HM)을 건식 에칭하는 공정에 에칭 바이어스를 적용함으로써 피처 수축이 배치 및 얻어질 수 있다. 선택적으로, 레지스트 마스크들(RM1, RM2)의 피처들을 수축시키기 위해 레지스트-처리를 적용함으로써 수축이 제공된다. 예를 들어 피처들을 수축시키기 위한 화학 및 열적 레지스트 처리와 같은 레지스트 처리 수축 기술들이 사용될 수 있으며, 제어된 방식으로 50nm 수축까지의 피처 크기 수정을 가능하게 하는 것으로 나타났다.
본 발명에 따르면, 두 노광들 사이에 하드 마스크 층의 증착이 요구된다. 대신, 이 노광 및 선 프린팅 방법은 원칙적으로 통상적인 수성 현상 단계 및 건식 에칭 단계 만을 사용한다. 결과적으로, 본 방법은 리소그래피 장치를 포함하는 리소그래피 시스템 및 리소그래피 장치에 일반적으로 연결되는 코팅/현상 트랙에 쉽게 이용될 수 있다.
본 발명의 일 실시예에 따른 리소그래피 노광 장치는 도 7에 개략적으로 도시된다. 장치는
- 방사선 빔(B)(예를 들어, 193 nm 또는 157 nm의 파장에서 작동하는 엑시머 레이저에 의해 발생된 것과 같은 UV 방사선 또는 DUV 방사선, 또는 13,6nm에서 작동하는 레이저-파이어드(laser-fired) 플라즈마 소스에 의해 발생된 EUV 방사선)을 컨디셔닝하도록 구성된 조명시스템(일루미네이터)(IL);
- 패터닝 디바이스(예를 들어, 마스크)(MA)를 지지하도록 구성되고, 특정 파라미터들에 따라 패터닝 디바이스를 정확히 위치시키도록 구성된 제1위치설정기(PM)에 연결된 지지 구조체(예를 들어, 마스크 테이블)(MT);
- 기판(예를 들어, 레지스트-코팅된 웨이퍼)(W)을 잡아주도록 구성되고, 특정 파라미터들에 따라 기판을 정확히 위치시키도록 구성된 제2위치설정기(PW)에 연결된 기판 테이블(예를 들어, 웨이퍼 테이블)(WT); 및
- 기판(W)의 타겟부(예를 들어 1이상의 다이를 포함)(C)에 패터닝 디바이스(MA)에 의하여 방사선 빔(B)에 부여된 패턴을 투영하도록 구성된 투영시스템(예를 들어, 굴절 투영 렌즈 시스템)(PS)을 포함한다.
조명시스템은, 방사선의 지향, 성형 또는 조절을 위하여, 다양한 형태의 광학 구성요소들, 예컨대 굴절, 반사, 자기, 전자기, 정전기 또는 다른 형태의 광학 구성요소들, 또는 그 조합을 포함할 수 있다.
지지 구조체는 예를 들어 필요에 따라 고정되거나 이동할 수 있는 프레임 또는 테이블일 수 있다. 지지 구조체는 패터닝 디바이스가, 예를 들어 투영시스템에 대해, 원하는 위치에 있을 것을 보장할 수 있다. 본 명세서의 "레티클" 또는 "마스크"라는 어떠한 용어의 사용도 "패터닝 디바이스"와 같은 좀 더 일반적인 용어와 동의어로도 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 예를 들어 기판의 타겟부에 패턴을 생성하기 위해서, 방사선 빔의 단면에 소정 패턴을 부여하는데 사용될 수 있는 임의의 디바이스를 의미하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예를 들어, 상기 패턴이 위상-시프팅 피처(phase-shifting features)들 또는 소위 어시스트 피처(assist features)들을 포함하는 경우, 기판의 타겟부내의 원하는 패턴과 정확히 일치하지 않을 수도 있다는 것을 유의한다. 일반적으로, 방사선 빔에 부여된 패턴은 집적 회로와 같이 타겟부에 생성될 디바이스내의 특정 기능층에 해당할 것이다. 패터닝 디바이스는 투과형 또는 반사형일 수 있다.
본 명세서에서 사용되는 "투영시스템"이라는 용어는, 사용되는 노광방사선에 대하여, 또는 침지 액체의 사용 또는 진공의 사용과 같은 다른 인자들에 대하여 적절하다면, 굴절, 반사 및 카타디옵트릭 광학 시스템들을 포함하는 임의의 타입의 투영시스템 또는 이의 임의의 조합을 내포하는 것으로서 폭넓게 해석되어야 한다. 본 명세서의 "투영 렌즈"라는 용어의 어떠한 사용도 "투영시스템"과 같은 좀 더 일반적인 용어와 동의어로도 간주될 수 있다.
본 명세서에 도시된 바와 같이, 상기 장치는 (예를 들어, 투과 마스크를 채택하는) 투과형이다. 선택적으로, 상기 장치는 (예를 들어, 반사 마스크를 채택하는) 반사형이 될 수도 있다.
리소그래피 장치는 2개(듀얼 스테이지)이상의 기판 테이블(및/또는 2이상의 마스크 테이블들)을 갖는 형태로 구성될 수도 있다. 이러한 "다수 스테이지" 기계 에서는 추가 테이블이 병행하여 사용될 수 있으며, 또는 1이상의 테이블이 노광에 사용되고 있는 동안 1이상의 테이블에서는 준비작업 단계가 수행될 수 있다.
또한, 리소그래피 장치는, 투영시스템과 기판 사이의 공간을 채우기 위해, 비교적 높은 굴절률을 가지는 액체(예를 들어, 물)에 의해 기판의 적어도 일부분이 덮일 수 있는 형태로 구성될 수도 있다. 또한, 침지 액체는 리소그래피 장치내의 다른 공간들, 예를 들어 마스크와 투영시스템 사이에도 적용될 수 있다. 침지 기술은 투영시스템의 개구수를 증가시키는 기술로 주지되어 있다. 본 명세서에서 사용되는 "침지"라는 용어는, 기판과 같은 구조체가 액체에 담그어져야 한다는 것을 의미하는 것이 아니라, 그 보다는 노광 시 액체가 투영시스템과 기판 사이에 위치된다는 것을 의미한다.
도 7을 참조하면, 일루미네이터(IL)는 방사선 소스(S0)로부터 방사선 빔을 수용한다. 예를 들어, 상기 소스가 엑시머 레이저인 경우, 상기 소스 및 리소그래피 장치는 별도의 개체일 수도 있다. 이러한 경우, 상기 소스는 리소그래피 장치의 일부분을 형성하는 것으로 간주되지 않으며, 상기 방사선 빔은, 예를 들어 적절한 지향 거울 및/또는 빔 익스팬더를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 일루미네이터(IL)로 통과된다. 다른 경우, 예를 들어 상기 소스가 수은 램프인 경우, 상기 소스는 리소그래피 장치의 통합부일 수 있다. 상기 소스(SO) 및 일루미네이터(IL)는, 필요하다면 빔 전달 시스템(BD)과 함께 방사선 시스템이라고도 칭해질 수 있다.
일루미네이터(IL)는 방사선 빔의 각도 세기 분포를 조정하는 조정기(AD)를 포함할 수도 있다. 일반적으로, 일루미네이터의 퓨필 평면내의 세기 분포의 적어도 파라미터들 σ-외측 및 σ-내측이 조정될 수 있다. 또한, 일루미네이터(IL)는 인티그레이터(IN) 및 콘덴서(CO)와 같이 다양한 다른 구성요소들을 포함할 수 있다. 일루미네이터는 그 단면에 원하는 균일성과 세기 분포를 가지기 위해, 방사선의 빔을 컨디셔닝하는데 사용될 수 있다.
상기 방사선 빔(B)은, 지지 구조체(예를 들어, 마스크 테이블(MT))상에 유지되어 있는 패터닝 디바이스(예를 들어, 마스크(MA))상에 입사되며, 패터닝 디바이스에 의해 패터닝된다. 마스크(MA)를 가로질렀으면, 상기 방사선 빔(B)은 투영시스템(PS)을 통과하여 기판(W)의 타겟부(C)상에 상기 빔을 포커스한다. 제 2 위치설정기(PW) 및 위치 센서(IF), (예컨대, 간섭계 디바이스, 리니어 인코더 또는 용량성 센서)를 사용하여, 기판 테이블(WT)은, 예를 들어 방사선 빔(B)의 경로내에 상이한 타겟부(C)들을 위치시키도록 정확하게 이동될 수 있다. 이와 유사하게, 제 1 위치설정기(PM) 및 또 다른 위치센서(도 1에 명확히 도시되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적인 회수 후에, 또는 스캔하는 동안, 방사선 빔(B)의 경로에 대해 마스크(MA)를 정확히 위치시키는데 사용될 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은, 장-행정 모듈(long-stroke module)(개략 위치설정) 및 단-행정 모듈(short-stroke module)(미세 위치설정)의 도움을 받아 실현될 수 있으며, 이는 제 1 위치설정기(PM)의 일부분을 형성한다. 이와 유사하게, 기판 테이블(WT)의 이동도, 장-행정 모듈 및 단-행정 모듈을 이용하여 실현될 수 있으며, 이는 제 2 위치설정기(PW)의 일부분을 형성한다. (스캐너와는 대조적으로) 스테퍼의 경우, 상기 마스크 테이블(MT)은 단지 단-행정 액추에이터에만 연결되거나 고정될 수도 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록, 예시된 기판 정렬 마크들이 지정된 타겟부(dedicated target portion)들을 차지하지만, 그들은 타겟부들간의 공간들내에 위치될 수도 있다(이들은 스크라이브-레인 정렬 마크들(scribe-lane alignment marks)로 알려져 있다). 이와 유사하게, 마스크(MA)상에 1이상의 다이가 제공되는 상황들에서는, 마스크 정렬 마크들이 다이들 사이에 위치될 수도 있다.
서술된 장치는 다음의 모드들 중 1이상에 사용될 수 있다:
1. 스텝 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은 기본적으로 정지상태로 유지되는 한편, 방사선 빔에 부여되는 전체 패턴은 한번에 타겟부(C)상에 투영된다(즉, 단일 정적 노광(single static exposure)). 이후, 기판 테이블(WT)은 다른 타겟부(C)가 노광될 수 있도록 X 및/또는 Y 방향으로 시프트된다. 스텝 모드에서, 노광 필드의 최대 크기는 단일 정적 노광시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서, 마스크 테이블(MT) 및 기판 테이블(WT)은, 방사선 빔에 부여되는 패턴이 타겟부(C)상에 투영되는 동안에 동기적으로 스캐닝(즉, 단일 동적 노광(single dynamic exposure))된다. 마스크 테이블(MT)에 대한 기판 테이블(WT)의 속도 및 방향은 확대(축소) 및 투영시스템(PS)의 이미지 반전 특성에 의하여 결정될 수 있다. 스캔 모드에서, 노광 필드의 최대 크기는 단일 동적 노광시 타겟부의 (스캐닝되지 않는 방향으로의) 폭을 제한하는 반면, 스캐닝 작동의 길이는 타겟 부의 (스캐닝 방향으로의) 높이를 결정한다.
3. 또 다른 모드에서, 마스크 테이블(MT)은 프로그래밍가능한 패터닝 디바이스를 유지하여 기본적으로 정지된 상태로 유지되며, 방사선 빔에 부여된 패턴이 타겟부(C)상에 투영되는 동안, 기판 테이블(WT)이 이동되거나 스캐닝된다. 이 모드에서는, 일반적으로 펄스화된 방사선 소스(pulsed radiation source)가 채용되며, 프로그래밍가능한 패터닝 디바이스는 기판 테이블(WT)이 각각 이동한 후, 또는 스캔동안의 연속 방사선 펄스들 사이에 필요에 따라 업데이트된다. 이 작동 모드는 상기 언급된 바와 같은 형태의 프로그래밍가능한 거울 어레이와 같은 프로그래밍가능한 패터닝 디바이스를 이용하는 마스크없는 리소그래피(maskless lithography)에 용이하게 적용될 수 있다.
또한, 상술된 사용 모드들의 조합 및/또는 변형, 또는 완전히 다른 사용 모드들을 채용할 수 있다.
본 발명의 한 측면에 따르면, 리소그래피 시스템은 리소그래피 노광 장치로서 리소그래피 간섭 장치(interferometric apparatus)를 포함한다. 이러한 장치에서, 레지스트층은 다중 빔 간섭 장치 내에 얻어진 프린지(fringe) 패턴에 노광된다. 예를 들어 UV 또는 DUV 방사선의 두 조준된(collimated) 빔들은 선형 간섭 프린지들을 생산하기 위해 서로 비스듬히 교차한다. 감광성 층을 갖는 웨이퍼는 이동가능한 테이블 상에 위치된다. 테이블은 개별적으로 회전 및 2-차원 병진한다. 어떤 적합한 주지된 소스 또는 소스들에 의해 제공된 두개의 실질적으로 조준된 간섭성 광학 빔들은, 감광성 층 상에 간섭 패턴을 형성하기 위해, 웨이퍼와 관련된 정 상 벡터로부터 서로 쪽으로 및 포토레지스트 층 쪽으로 가변성 각으로 지향된다. 간섭성 방사선의 간섭 방사선 빔들은, 예를 들어 빔 스플리팅 요소를 사용하여 ArF 엑시머 레이저에 의해 생성될 수 있으며, 이들이 동일한 소스 유래이고 고도의 콘트라스트 노광을 보장하는 웨이퍼에서 세기가 실질적으로 동일하도록, 임의의 적합한 주지된 방법으로 제공될 수 있다.
포토레지스트 층 또는 층들 상에 생산된 간섭 패턴은 예를 들어 웨이퍼를 회전 및/또는 웨이퍼를 병진시킴으로써 변화시킬 수 있다.
본 발명에 따른 제어 디바이스는, 결합된 패턴(DL)을 얻기 위해 요구되는 두 노광들의 각각 동안 (예를 들어, 스테이지들(MT, WT)의 위치설정과 관련된 세팅들, 및/또는 조명 모드들과 관련된 세팅들과 같은) 서브-패턴들(SDS1, SDS2)과 관련있고 리소그래피 노광 장치를 제어하기 위해 사용되는 데이터가 저장될 수 있는 메모리를 포함할 수 있다. 바이어스-비율들(BR1, BR2) 세팅들과 관련있는 데이터를 저장하기 위하여 동일한 메모리가 사용될 수 있다. 제어 디바이스의 일부가 될 수 있는 컴퓨터는, 메모리 내에 저장된 데이터에 기초하여, 본 발명에 따른 임의의 방법 단계들을 수행하기 위해 프로그래밍 및 배치된다.
본 발명에 따른 컴퓨터 프로그램 제품은 리소그래피 장치를 제어하여, 기판을 적어도 부분적으로 오버레잉하는 레지스트층을 타겟 피처 폭을 갖는 타겟 피처 및 인접 공간의 주기적 배치를 포함하는 타겟 패턴을 패터닝하는 방법을 수행하기 위한 프로그램 코드를 포함할 수 있다. 이 방법은 대상물 피처 폭을 갖는 대상물 피처 및 인접 공간의 주기적 배치를 포함하는 마스크 패턴을 조명하는 단계, 마스 크 패턴을 기판 상에 축소하여 투영하는 단계, 상기 기판을 적어도 부분적으로 오버레잉하는 레지스트 층을 각각의 대상물 피처들이 개별 인접 공간들의 휘도보다 낮은 휘도에서 이미징되는 마스크 패턴의 이미지로 노광하는 단계, 및 0.8보다 낮은 바이어스 비율에 대해 보정하는 단계를 포함하며, 상기 바이어스 비율은 축소도를 곱하고 타겟 피처 폭으로 나눈 대상물 피처 폭으로서 정의된다. 본 발명의 일 실시예에서, 리소그래피 장치는 컴퓨터 프로그램 제품을 실행하기 위해 및 보정을 수행하기 위해 배치된 제어기를 포함한다. 본 발명의 한 측면에 따르면, 리소그래피 장치는 예를 들어 제 5 실시예에 기재된 것과 같은 듀얼 노광 처리를 수행하도록 구성된다. 이어서 상기된 리소그래피 장치의 제어기는 상기된 컴퓨터 프로그램 제품을 실행하기 위해, 및 제 1 레지스트층이 타겟 피처 및 인접 공간의 주기적 배치를 포함하는 제 1 타겟 패턴으로 패터닝되고, 제 2 레지스트 층이 타겟 피처 및 인접 공간의 주기적 배치를 포함하는 제 2 타겟 패턴으로 패터닝되고, 제 1 및 제 2 타겟 패턴들의 공간들이 인터포즈되는 두가지 상이한 레지스트 패터닝 처리들에 대한 보정을 수행하기 위해 구성된다.
본 명세서에서는, IC의 제조에 있어서 리소그래피 장치의 특정 사용예에 대하여 언급되지만, 본 명세서에서 서술된 리소그래피 장치는 집적 광학 시스템, 자기 도메인 메모리용 유도 및 검출 패턴, 평판 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드 등의 제조와 같이 다른 응용예들을 가질 수도 있음을 이해하여야 한다. 당업자라면, 이러한 대안적인 적용예와 관련하여, 본 명세서의 "웨이퍼" 또는 "다이"와 같은 용어의 어떠한 사용도 각각 "기판" 또는 "타겟부"와 같은 좀 더 일반적인 용어와 동의어로 간주될 수도 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은, 노광 전후에, 예를 들어 트랙(전형적으로, 기판에 레지스트층을 도포하고 노광된 레지스트를 현상하는 툴), 메트롤로지 툴 및/또는 검사툴에서 처리될 수 있다. 적용가능하다면, 이러한 기판 처리 툴과 다른 기판 처리 툴에 본 명세서의 기재내용이 적용될 수 있다. 또한, 예를 들어 다층 IC를 생성하기 위하여 기판이 한번 이상 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러번 처리된 층들을 포함한 기판을 칭할 수도 있다.
본 명세서에서 사용되는 "방사선" 및 "빔"이란 용어는 (예를 들어, 파장이 약 365, 355, 248, 193, 157 또는 126㎚인) 자외(UV)방사선 및 (예를 들어 파장이 5 내지 20nm의 범위인) 극자외(EUV)방사선을 포함하는 모든 타입의 전자기 방사선을 포괄한다.
본 명세서가 허용하는 "렌즈"라는 용어는, 굴절, 반사, 자기, 전자기 및 정전기 광학 구성요소들을 포함하는 다양한 타입의 광학 구성요소들 중 어느 하나 또는 그 조합을 나타낼 수 있다.
이상, 본 발명의 특정 실시예들이 서술되었지만, 본 발명은 서술된 것과 다르게 실시될 수도 있다는 것을 이해할 수 있을 것이다.
상기 상세한 설명은 제한이 아닌 설명을 위한 것이다. 따라서, 이하의 특허청구범위의 범위를 벗어나지 않고 기재된 대로 본 발명을 변형할 수 있다는 것은 당업자에게 명백할 것이다.
본 발명에 의하면, 레지스트층을 패터닝하기 위한 리소그래피 노광 처리가 개선되고, 리소그래피 시스템의 이미지 레졸루션이 증진된다.

Claims (20)

  1. - 대상물 피처 폭을 갖는 대상물 피처 및 인접 공간의 주기적 배치를 포함하는 마스크 패턴을 조명하는 단계;
    - 상기 마스크 패턴을 리소그래피 기판 상에 축소하여 투영하는 단계;
    - 레지스트 층을, 각각의 대상물 피처들이 개별 인접 공간들의 휘도보다 낮은 휘도로 이미징되는 상기 마스크 패턴의 이미지로 노광하는 단계를 포함하고,
    - 0.8 보다 낮은 값의, 축소도를 곱하고 타겟 피처 폭으로 나눈 대상물 피처 폭으로서 정의되는 비율을 선택하는 단계, 및
    - 0.8 보다 낮은 상기 비율을 보정하여 타겟 패턴을 제공하는 단계를 더 포함하는,
    상기 리소그래피 기판을 적어도 부분적으로 오버레잉하는 레지스트 층을, 타겟 피처 폭을 갖는 타겟 피처 및 인접 공간의 주기적 배치를 포함하는 타겟 패턴으로 패터닝하는 방법.
  2. 제 1항에 있어서,
    상기 타겟 패턴의 주기적 배치는 타겟 피치를 가지고, 상기 타겟 피치로 나눈 타겟 피처 폭으로서 정의되는 타겟 듀티 사이클은 0.7 내지 0.8의 어떤 값 중 하나인 것을 특징으로 하는 방법.
  3. 제 2항에 있어서,
    상기 마스크 패턴의 주기적 배치는 대상물 피치를 가지고, 상기 대상물 피치로 나눈 대상물 피처 폭으로서 정의되는 대상물 듀티 사이클은 0.2 내지 0.5의 어떤 값 중 하나인 것을 특징으로 하는 방법.
  4. 제 1항에 있어서,
    상기 타겟 듀티 사이클은 0.5 +/- 0.05이고, 상기 대상물 듀티 사이클은 0.25 +/- 0.05인 것을 특징으로 하는 방법.
  5. 제 1항 내지 제 4항 중의 어느 한 항에 있어서,
    상기 레지스트는 포지티브 톤 레지스트인 것을 특징으로 하는 방법.
  6. 제 1항 내지 제 4항 중의 어느 한 항에 있어서,
    상기 타겟 피처는 선형 피처인 것을 특징으로 하는 방법.
  7. 제 1항 내지 제 4항 중의 어느 한 항에 있어서,
    상기 보정은 노광량을 상기 타겟 듀티 사이클을 제공하기 위한 비율에 따라 배치하는 것을 포함하는 것을 특징으로 하는 방법.
  8. 제 5항에 있어서,
    상기 보정은 노광된 레지스트를 현상하고 피처 수축 처리를 적용하는 것을 포함하는 것을 특징으로 하는 방법.
  9. 제 1항 내지 제 4항 중의 어느 한 항에 있어서,
    상기 타겟 피처는 레지스트 재료 피처이고, 상기 인접 공간은 레지스트 재료의 광 활성화된 일부분인 것을 특징으로 하는 방법.
  10. - 원하는 패턴을, 두 개의 인터포즈된(interposed) 타겟 패턴들로서 각각 제 1 타겟 패턴 및 제 2 타겟 패턴으로 스플리팅(splitting)하는 단계로서, 각각의 타겟 패턴은 타겟 피처에 인접하여 배치된 원하는 공간을 갖는 타겟 피처의 주기적 배치를 포함하는 단계;
    - 기판을 적어도 부분적으로 오버레잉하면서 제 1 레지스트 층을 상기 기판에 제공하는 단계;
    - 상기 제 1 레지스트층을 제 2항의 방법에 따라 상기 제 1 타겟 패턴으로 패터닝하는 단계;
    - 상기 제 1 레지스트층을 현상하는 단계;
    - 상기 기판을 적어도 부분적으로 오버레잉하면서 상기 기판에 제 2 레지스트층을 제공하는 단계;
    - 상기 제 2 레지스트층을 제 2항의 방법에 따라 상기 제 2 타겟 패턴으로 패터닝하는 단계로서, 투영은 상기 제 2 타겟 패턴의 공간들을 제 1 타겟 패턴의 공간들에 인터포즈된 관계로 위치시키도록 배치되는 단계를 포함하는, 기판에 원하는 피처 및 인접한 원하는 공간의 주기적 배치를 포함하는 원하는 패턴을 제공하는 방법.
  11. - 원하는 패턴을, 두 개의 인터포즈된(interposed) 타겟 패턴들로서 각각 제 1 타겟 패턴 및 제 2 타겟 패턴으로 스플리팅하는 단계로서, 각각의 타겟 패턴은 타겟 피처에 인접하여 배치된 원하는 공간을 갖는 타겟 피처의 주기적 배치를 포함하는 단계;
    - 기판을 적어도 부분적으로 오버레잉하면서 제 1 레지스트 층을 상기 기판에 제공하는 단계;
    - 상기 제 1 레지스트층을 제 3항의 방법에 따라 상기 제 1 타겟 패턴으로 패터닝하는 단계;
    - 상기 제 1 레지스트층을 현상하는 단계;
    - 상기 기판을 적어도 부분적으로 오버레잉하면서 상기 기판에 제 2 레지스트층을 제공하는 단계;
    - 상기 제 2 레지스트층을 제 3항의 방법에 따라 상기 제 2 타겟 패턴으로 패터닝하는 단계로서, 투영은 상기 제 2 타겟 패턴의 공간들을 제 1 타겟 패턴의 공간들에 인터포즈된 관계로 위치시키도록 배치되는 단계를 포함하는, 기판에 원하는 피처 및 인접한 원하는 공간의 주기적 배치를 포함하는 원하는 패턴을 제공하는 방법.
  12. 제 10항 또는 제 11항에 있어서,
    상기 제 1 및 제 2 타겟 패턴의 타겟 피처는 레지스트 재료 피처이고, 상기 인접 공간은 레지스트 재료의 광 활성화된 일부분인 것을 특징으로 하는 방법.
  13. 제 10항 또는 제 11항에 있어서,
    상기 제 1 및 제 2 레지스트는 포지티브 톤 레지스트인 것을 특징으로 하는 방법.
  14. 제 13항에 있어서,
    제 1 레지스트 층의 현상 후, 상기 제 1 레지스트 층 아래의 하드 마스크 층을 에칭하여 제 1 타겟 서브-패턴을 상기 하드 마스크 층에 전사하는 단계, 및 제 2 레지스트 층의 패터닝 후, 상기 제 2 레지스트 층을 현상하고 상기 하드 마스크 층을 에칭하여 제 2 타겟 서브-패턴을 상기 하드 마스크 층에 전사하는 단계를 더 포함하는 방법.
  15. 제 10항 또는 제 11항에 있어서,
    상기 원하는 피처는 선형 피처인 것을 특징으로 하는 방법.
  16. 제 10항 또는 제 11항에 있어서,
    상기 보정은 노광량을 상기 타겟 듀티 사이클을 제공하기 위한 비율에 따라 배치하는 것을 포함하는 것을 특징으로 하는 방법.
  17. 제 13항에 있어서,
    상기 제 1 레지스트층 또는 상기 제 2 레지스트층 또는 상기 제 1 및 제 2 레지스트층의 패터닝에 대한 보정은 노광된 레지스트를 현상하고 피처 수축 처리를 적용하는 것을 포함하는 것을 특징으로 하는 방법.
  18. - 대상물 피처 폭을 갖는 대상물 피처 및 인접 공간의 주기적 배치를 포함하는 마스크 패턴을 조명하는 단계;
    - 상기 마스크 패턴을 기판 상에 축소하여 투영하는 단계;
    - 상기 기판을 적어도 부분적으로 오버레잉하는 레지스트 층을, 각각의 대상물 피처들이 개별 인접 공간들의 휘도보다 낮은 휘도로 이미징되는 상기 마스크 패턴의 이미지로 노광하는 단계; 및
    - 0.8 보다 낮은 바이어스 비율을 보정하는 단계로서, 상기 바이어스 비율은 축소도를 곱하고 상기 타겟 피처 폭으로 나눈 상기 대상물 피처 폭으로 정의되는 단계를 포함하는,
    리소그래피 장치를 제어하여, 기판을 적어도 부분적으로 오버레잉하는 레지스트층을, 타겟 피처 폭을 갖는 타겟 피처 및 인접 공간의 주기적 배치를 포함하는 타겟 패턴으로 패터닝하는 방법을 수행하기 위한 프로그램 코드를 포함하는 컴퓨터 프로그램 제품.
  19. 제 18항에 따른 컴퓨터 프로그램 제품을 실행하고 제 18항에 따른 보정을 수행하도록 구성된 제어기를 포함하는 리소그래피 장치.
  20. 제 19항에 있어서,
    상기 제어기는 제 18항에 따른 컴퓨터 프로그램 제품을 실행하도록, 및 제 1 레지스트 층은 타겟 피처 및 인접 공간의 주기적 배치를 포함하는 제 1 타겟 패턴으로 패터닝되고, 제 2 레지스트 층은 타겟 피처 및 인접 공간의 주기적 배치를 포함하는 제 2 타겟 패턴으로 패터닝되고, 상기 제 1 및 제 2 타겟 패턴들의 공간들은 인터포즈되는 두 상이한 레지스트 패터닝 처리에 대해 제 18항에 따른 보정을 수행하도록 구성되는 것을 특징으로 하는 리소그래피 장치.
KR1020060097068A 2005-10-05 2006-10-02 리소그래피 기판을 오버레이하는 포지티브 톤 레지스트층의 패터닝 방법 KR100819484B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/243,190 US7824842B2 (en) 2005-10-05 2005-10-05 Method of patterning a positive tone resist layer overlaying a lithographic substrate
US11/243,190 2005-10-05

Publications (2)

Publication Number Publication Date
KR20070038423A KR20070038423A (ko) 2007-04-10
KR100819484B1 true KR100819484B1 (ko) 2008-04-04

Family

ID=37667815

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060097068A KR100819484B1 (ko) 2005-10-05 2006-10-02 리소그래피 기판을 오버레이하는 포지티브 톤 레지스트층의 패터닝 방법

Country Status (7)

Country Link
US (2) US7824842B2 (ko)
EP (1) EP1772776A1 (ko)
JP (1) JP2007116144A (ko)
KR (1) KR100819484B1 (ko)
CN (1) CN1945444B (ko)
SG (1) SG131856A1 (ko)
TW (1) TWI346347B (ko)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006004230B4 (de) * 2006-01-30 2008-11-06 Qimonda Ag Verfahren zur Herstellung einer Maske für die lithografische Projektion eines Musters auf ein Substrat
US7811747B2 (en) * 2006-09-22 2010-10-12 Tokyo Electron Limited Method of patterning an anti-reflective coating by partial developing
US7862985B2 (en) * 2006-09-22 2011-01-04 Tokyo Electron Limited Method for double patterning a developable anti-reflective coating
US7883835B2 (en) * 2006-09-22 2011-02-08 Tokyo Electron Limited Method for double patterning a thin film
US7858293B2 (en) * 2006-09-22 2010-12-28 Tokyo Electron Limited Method for double imaging a developable anti-reflective coating
KR100816753B1 (ko) * 2006-10-09 2008-03-25 삼성전자주식회사 반도체 소자의 형성방법
US7767386B2 (en) * 2007-01-15 2010-08-03 Tokyo Electron Limited Method of patterning an organic planarization layer
US7932017B2 (en) * 2007-01-15 2011-04-26 Tokyo Electron Limited Method of double patterning a thin film using a developable anti-reflective coating and a developable organic planarization layer
US7901869B2 (en) * 2007-06-01 2011-03-08 Applied Materials, Inc. Double patterning with a double layer cap on carbonaceous hardmask
WO2009097436A2 (en) * 2008-01-29 2009-08-06 Brewer Science Inc. On-track process for patterning hardmask by multiple dark field exposures
US8293460B2 (en) * 2008-06-16 2012-10-23 Applied Materials, Inc. Double exposure patterning with carbonaceous hardmask
JP2010073817A (ja) * 2008-09-17 2010-04-02 Canon Inc パターン形成方法およびオフセット値の決定方法
US9640396B2 (en) 2009-01-07 2017-05-02 Brewer Science Inc. Spin-on spacer materials for double- and triple-patterning lithography
US8546069B2 (en) * 2009-01-15 2013-10-01 International Business Machines Corporation Method for enhancing lithographic imaging of isolated and semi-isolated features
NL2004297A (en) * 2009-03-20 2010-09-21 Asml Holding Nv Improving alignment target contrast in a lithographic double patterning process.
US9316916B2 (en) * 2009-04-07 2016-04-19 Globalfounries Inc. Method to mitigate resist pattern critical dimension variation in a double-exposure process
NL2004365A (en) * 2009-04-10 2010-10-12 Asml Holding Nv Method and system for increasing alignment target contrast.
US8568964B2 (en) * 2009-04-27 2013-10-29 Tokyo Electron Limited Flood exposure process for dual tone development in lithographic applications
US8574810B2 (en) * 2009-04-27 2013-11-05 Tokyo Electron Limited Dual tone development with a photo-activated acid enhancement component in lithographic applications
US7994060B2 (en) * 2009-09-01 2011-08-09 International Business Machines Corporation Dual exposure track only pitch split process
CN102122113A (zh) * 2010-01-08 2011-07-13 中芯国际集成电路制造(上海)有限公司 光刻方法
US8338086B2 (en) * 2010-03-31 2012-12-25 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8580675B2 (en) * 2011-03-02 2013-11-12 Texas Instruments Incorporated Two-track cross-connect in double-patterned structure using rectangular via
US8575020B2 (en) 2011-03-02 2013-11-05 Texas Instruments Incorporated Pattern-split decomposition strategy for double-patterned lithography process
JP6140616B2 (ja) * 2011-03-02 2017-05-31 日本テキサス・インスツルメンツ株式会社 ダブルパターニングされるリソグラフィプロセスのためのパターン分割分解ストラテジー
US8627244B2 (en) 2011-06-29 2014-01-07 International Business Machines Corporation Frequency domain layout decomposition in double patterning lithography
CN102323716A (zh) * 2011-07-07 2012-01-18 西北工业大学 一种纳米结构的图形转移制作方法
US8423923B2 (en) * 2011-07-20 2013-04-16 United Microelectronics Corp. Optical proximity correction method
KR20130039124A (ko) * 2011-10-11 2013-04-19 삼성전자주식회사 반도체 소자의 패턴 형성방법
JP5623493B2 (ja) * 2012-11-28 2014-11-12 キヤノン株式会社 アライメントマークの形成方法およびデバイスの製造方法
CN103995432B (zh) * 2012-12-03 2017-09-08 深圳清溢光电股份有限公司 降低光掩模板条纹的方法及装置
US9384318B2 (en) * 2014-04-28 2016-07-05 Globalfoundries Inc. Mask error compensation by optical modeling calibration
US9513573B2 (en) * 2014-09-04 2016-12-06 Ricoh Company, Ltd. Image forming method, image forming apparatus, and printed matter production method
US11669012B2 (en) 2020-02-21 2023-06-06 Applied Materials, Inc. Maskless lithography method to fabricate topographic substrate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040093042A (ko) * 2003-04-24 2004-11-04 에이에스엠엘 네델란즈 비.브이. 리소그래피 처리방법 및 이에 따라 제조된 디바이스
KR20060050525A (ko) * 2004-08-17 2006-05-19 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 마스크 패턴을 생성하는 컴퓨터프로그램물 및 방법, 및 그 디바이스 제조방법

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6392689B1 (en) * 1991-02-21 2002-05-21 Eugene Dolgoff System for displaying moving images pseudostereoscopically
EP1293833A1 (en) 1991-08-22 2003-03-19 Nikon Corporation High resolution printing technique by using a mask pattern adapted to the technique
JP3343919B2 (ja) 1991-08-22 2002-11-11 株式会社ニコン マスク及び回路素子製造方法並びに露光方法
JPH06138643A (ja) 1992-10-30 1994-05-20 Toshiba Corp 半導体装置用ガラスマスクおよびその製造方法
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
KR20010004612A (ko) 1999-06-29 2001-01-15 김영환 포토 마스크 및 이를 이용한 반도체 소자의 미세패턴 형성방법
US6573975B2 (en) * 2001-04-04 2003-06-03 Pradeep K. Govil DUV scanner linewidth control by mask error factor compensation
US6544695B2 (en) 2001-04-11 2003-04-08 Winbond Electronics Corp. Photomask set for photolithographic operation
JP4287383B2 (ja) 2003-05-09 2009-07-01 富士通株式会社 レジストの加工方法及び半導体装置の製造方法
JP4206022B2 (ja) 2003-09-30 2009-01-07 パナソニック株式会社 パターン形成方法
JP4233091B2 (ja) 2003-11-19 2009-03-04 東京応化工業株式会社 パターン微細化用被覆形成剤およびそれを用いた微細パターンの形成方法
US7256873B2 (en) * 2004-01-28 2007-08-14 Asml Netherlands B.V. Enhanced lithographic resolution through double exposure

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040093042A (ko) * 2003-04-24 2004-11-04 에이에스엠엘 네델란즈 비.브이. 리소그래피 처리방법 및 이에 따라 제조된 디바이스
KR20060050525A (ko) * 2004-08-17 2006-05-19 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치, 마스크 패턴을 생성하는 컴퓨터프로그램물 및 방법, 및 그 디바이스 제조방법

Also Published As

Publication number Publication date
EP1772776A1 (en) 2007-04-11
TWI346347B (en) 2011-08-01
TW200722934A (en) 2007-06-16
US20070077523A1 (en) 2007-04-05
US7824842B2 (en) 2010-11-02
US7811746B2 (en) 2010-10-12
KR20070038423A (ko) 2007-04-10
US20070077526A1 (en) 2007-04-05
CN1945444B (zh) 2012-04-25
CN1945444A (zh) 2007-04-11
JP2007116144A (ja) 2007-05-10
SG131856A1 (en) 2007-05-28

Similar Documents

Publication Publication Date Title
KR100819484B1 (ko) 리소그래피 기판을 오버레이하는 포지티브 톤 레지스트층의 패터닝 방법
US7713682B2 (en) Substrate, method of exposing a substrate, machine readable medium
JP4482543B2 (ja) リソグラフィ基板の露光方法
US7372540B2 (en) Lithographic apparatus and device manufacturing method
JP2009004799A (ja) リソグラフィ処理方法およびそれにより製造したデバイス
JP2006085174A (ja) リソグラフィ装置およびデバイス製造方法
JP5068844B2 (ja) リソグラフィ方法及びリソグラフィ装置
KR101437575B1 (ko) 기판-토포그래피-인식 리소그래피 모델링
KR20050043714A (ko) 프로세스 관용도를 향상시키기 위한 마스크 패턴의 투과율튜닝을 수행하는 방법
US7339652B2 (en) Apparatus for projecting a pattern into an image plane
KR100935001B1 (ko) 리소그래피 디바이스 제조 방법, 리소그래피 셀, 및 컴퓨터프로그램 제품
US20060134529A1 (en) Optimization to avoid sidelobe printing
JP5091909B2 (ja) リソグラフィ方法
US8652710B2 (en) Device manufacturing method, method of making a mask, and mask
EP1471386A1 (en) Lithographic processing method and device manufactured thereby

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130315

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20140324

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee