KR100816753B1 - 반도체 소자의 형성방법 - Google Patents

반도체 소자의 형성방법 Download PDF

Info

Publication number
KR100816753B1
KR100816753B1 KR1020060098060A KR20060098060A KR100816753B1 KR 100816753 B1 KR100816753 B1 KR 100816753B1 KR 1020060098060 A KR1020060098060 A KR 1020060098060A KR 20060098060 A KR20060098060 A KR 20060098060A KR 100816753 B1 KR100816753 B1 KR 100816753B1
Authority
KR
South Korea
Prior art keywords
mask
pattern
film
forming
fine pattern
Prior art date
Application number
KR1020060098060A
Other languages
English (en)
Inventor
윤형주
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020060098060A priority Critical patent/KR100816753B1/ko
Priority to US11/653,363 priority patent/US20080085472A1/en
Priority to TW096137718A priority patent/TW200832510A/zh
Application granted granted Critical
Publication of KR100816753B1 publication Critical patent/KR100816753B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3088Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes

Abstract

반도체 소자의 형성방법이 제공된다. 상기 반도체 소자의 형성방법은 반도체 기판 상에 제 1 마스크막을 형성하는 것, 상기 제 1 마스크막 상에 제 2 마스크막을 형성하는 것, 상기 제 1 마스크막과 상기 제 2 마스크막을 패터닝하여, 제 1 개구부를 각각 갖는 제 1 마스크 패턴과 제 2 마스크 패턴을 형성하는 것, 상기 제 2 마스크 패턴의 상기 제 1 개구부를 넓혀, 상기 제 1 개구부보다 폭이 넓은 제 2 개구부를 갖는 제 2 마스크 미세 패턴을 형성하는 것, 상기 제 2 개구부 및 상기 제 1 개구부에, 상기 제 2 마스크 미세 패턴의 상부면을 노출하는, 제 3 마스크 패턴을 형성하는 것 그리고 상기 노출된 제 2 마스크 미세 패턴을 제거하고, 상기 제 3 마스크 패턴을 마스크로 상기 제 1 마스크 패턴에 식각 공정을 진행하여 제 1 마스크 미세 패턴을 형성하는 것을 포함한다.
마스크막, 마스크 패턴, 마스크 미세 패턴

Description

반도체 소자의 형성방법{METHOD FOR FORMING SEMICONDUCTOR DEVICE}
도 1a 내지 8a는 본 발명의 일 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 사시도이다.
도 1b 내지 8b는 본 발명의 일 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 단면도이다.
도 9a 내지 16a는 본 발명의 다른 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 사시도이다.
도 9b 내지 16b는 본 발명의 다른 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 단면도이다.
도 17a 내지 24a는 본 발명의 또 다른 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 사시도이다.
도 17b 내지 24b는 본 발명의 또 다른 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 평면도이다.
도 17c 내지 24c는 본 발명의 또 다른 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 단면도이다.
*도면의 주요부분에 대한 부호의 설명*
120: 제 1 마스크막 130: 제 2 마스크막
120a: 제 1 마스크 패턴 130a: 제 2 마스크 패턴
120b: 제 1 마스크 미세 패턴 130b: 제 2 마스크 미세 패턴
143: 제 1 개구부 145: 제 2 개구부
150: 제 3 마스크 패턴 160: 트렌치
170: 소자분리막
본 발명은 반도체 소자의 형성방법에 관한 것으로, 더욱 상세하게는 미세 패턴을 가지는 반도체 소자의 형성방법에 관한 것이다.
반도체 소자가 고집적화됨에 따라, 반도체 소자의 선폭이 감소하고 있다. 반도체 소자의 선폭을 감소시키기 위하여 마스크로 사용되는 포토 레지스트 패턴의 폭이 좁아져야 한다. 포토 레지스트 패턴의 폭이 좁으면, 포토 레지스트 패턴이 형성 과정에서 변형될 수 있다. 또한, 포토 레지스트 패턴의 좁은 폭을 확보하기 위하여 고성능 노광 설비의 개발이 필요하다. 그러나, 노광 설비의 개발 및 교체는 비용 부담이 요구되며, 안정된 광원과 노광 기술의 확보가 전제되어야 하는 어려움이 있다. 이에 따라, 기존의 노광 설비를 이용하면서도 선폭이 좁은 마스크 패턴을 형성할 수 있는 방법이 연구되고 있다.
본 발명의 목적은 선폭이 감소된 패턴을 가지는 반도체 소자의 형성방법을 제공하는 것이다.
본 발명의 일 실시예에 따른 반도체 소자의 형성방법은 반도체 기판 상에 제 1 마스크막을 형성하는 것, 상기 제 1 마스크막 상에 제 2 마스크막을 형성하는 것, 상기 제 1 마스크막과 상기 제 2 마스크막을 패터닝하여, 제 1 개구부를 각각 갖는 제 1 마스크 패턴과 제 2 마스크 패턴을 형성하는 것, 상기 제 2 마스크 패턴의 상기 제 1 개구부를 넓혀, 상기 제 1 개구부보다 폭이 넓은 제 2 개구부를 갖는 제 2 마스크 미세 패턴을 형성하는 것, 상기 제 2 개구부 및 상기 제 1 개구부에, 상기 제 2 마스크 미세 패턴의 상부면을 노출하는, 제 3 마스크 패턴을 형성하는 것 그리고 상기 노출된 제 2 마스크 미세 패턴을 제거하고, 상기 제 3 마스크 패턴을 마스크로 상기 제 1 마스크 패턴에 식각 공정을 진행하여 제 1 마스크 미세 패턴을 형성하는 것을 포함한다.
일 실시예에 따른 반도체 소자의 형성방법에 있어서, 상기 제 1 개구부의 폭은 상기 제 2 마스크 미세 패턴의 폭과 동일하다.
일 실시예에 따른 반도체 소자의 형성방법은 상기 제 1 마스크 미세 패턴을 형성한 후, 상기 제 3 마스크 패턴을 제거하여 상기 제 1 마스크 미세 패턴을 노출하는 것을 더 포함할 수 있다.
상기 제 1 마스크 미세 패턴은 일 방향으로 평행하게 배열되는 라인 형태일 수 있다.
일 실시예에 따른 반도체 소자의 형성방법은 상기 제 1 마스크 미세 패턴을 마스크로 상기 반도체 기판에 트렌치를 형성하는 것 그리고 상기 트렌치를 채우는 소자분리막을 형성하는 것을 더 포함할 수 있다.
본 발명의 다른 실시예에 따른 반도체 소자의 형성방법은 상기 제 1 마스크막을 형성하기 전에, 상기 반도체 기판 상에 배선층을 형성하는 것 그리고 상기 제 1 마스크 미세 패턴을 마스크로 상기 배선층을 식각하여, 배선 패턴을 형성하는 것을 더 포함할 수 있다.
상기 제 2 마스크 미세 패턴을 형성하는 것은 풀-백 공정을 진행하는 것을 포함할 수 있다.
상기 제 3 마스크 패턴을 형성하는 것은 상기 제 2 마스크 미세 패턴과 상기 제 1 마스크 패턴을 덮는 제 3 마스크막을 형성하는 것 그리고 상기 제 3 마스크막에 평탄화 공정을 진행하여 상기 제 2 마스크 미세 패턴의 상부면을 노출하는 것을 포함할 수 있다.
상기 제 2 마스크막은 상기 제 1 마스크막에 대하여 식각선택성을 가질 수 있다. 상기 제 2 마스크막은 실리콘 산화막이고, 상기 제 1 마스크막은 실리콘 질화막일 수 있다. 상기 제 2 마스크막은 실리콘 질화막이고, 상기 제 1 마스크막은 실리콘 산화막일 수 있다.
상기 제 3 마스크막은 상기 제 2 마스크막에 대하여 식각선택성을 가질 수 있다. 상기 제 3 마스크막은 폴리 실리콘막이고, 상기 제 2 마스크막은 실리콘 산화막 또는 실리콘 질화막일 수 있다.
본 발명의 또 다른 실시예에 따른 반도체 소자의 형성방법은 상기 제 1 마스 크막을 형성하기 전에, 상기 반도체 기판 상에 주형 산화막을 형성하는 것을 더 포함할 수 있다. 또 다른 실시예에 있어서, 상기 제 1 개구부는 홀 형태일 수 있다.
또 다른 실시예에 있어서, 상기 제 1 마스크 미세 패턴은 실린더 형태일 수 있다.
또 다른 실시예에 따른 반도체 소자의 형성방법은 상기 노출된 제 1 마스크 미세 패턴을 마스크로 상기 주형 산화막을 식각하여, 실린더 형태의 주형 산화막 패턴을 형성하는 것을 더 포함할 수 있다.
또 다른 실시예에 따른 반도체 소자의 형성방법은 상기 제 1 마스크막을 형성하기 전에, 상기 주형 산화막 상에 비정질 탄소막을 형성하는 것 그리고 상기 비정질 탄소막 상에 반사 방지막을 형성하는 것을 더 포함할 수 있다.
또 다른 실시예에 따른 반도체 소자의 형성방법은 상기 노출된 제 1 마스크 미세 패턴을 마스크로 상기 비정질 탄소막과 상기 반사 방지막 그리고 상기 주형 산화막을 식각하여, 실린더 형태의 주형 산화막 패턴을 형성하는 것을 더 포함할 수 있다.
또 다른 실시예에 따른 반도체 소자의 형성방법은 상기 주형 산화막 패턴을 콘포멀하게 덮으며, 개구부를 갖는 금속막을 형성하는 것, 상기 개구부를 채우는 희생 산화막을 형성하는 것, 상기 희생 산화막에 평탄화 공정을 진행하여, 상기 주형 산화막 패턴을 노출하는 것 그리고 상기 희생 산화막과 상기 주형 산화막 패턴을 제거하여 커패시터의 하부전극을 형성하는 것을 더 포함할 수 있다.
이하, 본 발명의 실시예에 따른 반도체 소자의 형성방법을 첨부한 도면을 참 조하여 상세히 설명한다. 본 발명은 여기서 설명되는 실시예에 한정되지 않고 다른 형태로 구체화될 수 있다. 오히려, 여기서 소개되는 실시예는 개시된 내용이 철저하고 완전해질 수 있도록 그리고 당업자에게 본 발명의 사상이 충분히 전달될 수 있도록 하기 위해 제공되는 것이다.
도면들에 있어서, 층 및 영역들의 두께는 명확성을 기하기 위하여 과장된 것이다. 또한, 층이 다른 층 또는 기판 "상"에 있다고 언급되는 경우에 그것은 다른 층 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제3의 층이 개재될 수도 있다. 명세서 전체에 걸쳐서 동일한 참조번호들은 동일한 구성요소들을 나타낸다.
도 1a 내지 8a는 본 발명의 일 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 사시도이다. 도 1b 내지 8b는 본 발명의 일 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 단면도이다.
도 1a 및 1b를 참조하면, 반도체 기판(100) 상에 패드 산화막(105)이 형성될 수 있다. 상기 패드 산화막(105)은 열 산화 공정 또는 화학 기상 증착 방법으로 형성될 수 있다. 상기 패드 산화막(105) 상에 제 1 마스크막(120)이 형성된다. 상기 제 1 마스크막(120) 상에 제 2 마스크막(130)이 형성된다. 상기 패드 산화막(105)은 상기 제 1 마스크막(120)과 상기 반도체 기판(100)의 열 팽창 계수 차이에 의한 응력(stress)을 감소시키는 역할을 할 수 있다. 상기 제 2 마스크막(130)은 상기 제 1 마스크막(120)에 대하여 식각 선택성(etch selectivity)을 가진다. 이때, a가 b에 대하여 식각 선택성을 가진다는 것은 b에 대한 식각을 최소화하면서 a를 식각 하는 것이 가능하거나 또는 그 역이 가능하다는 것을 의미한다. 상기 제 1 마스크막(120)은 화학 기상 증착 방법으로 형성된 실리콘 질화막일 수 있다. 상기 제 2 마스크막(130)은 화학 기상 증착 방법으로 형성된 실리콘 산화막일 수 있다. 상기 제 1 마스크막(120)이 실리콘 산화막이고, 상기 제 2 마스크막(130)이 실리콘 질화막일 수 있다. 상기 제 2 마스크막(130) 상에 포토 레지스트 패턴(140)이 형성된다.
도 2a 및 2b를 참조하면, 상기 포토 레지스트 패턴(140)을 마스크로 식각 공정을 진행하여 제 1 마스크 패턴(120a) 및 제 2 마스크 패턴(130a)이 형성된다. 상기 제 1 마스크 패턴(120a) 및 제 2 마스크 패턴(130a)은 제 1 개구부(143)를 가진다. 상기 제 1 개구부(143)는 일 방향으로 평행하게 배열된 라인 형태의 트렌치(trench)일 수 있다.
도 3a 및 3b를 참조하면, 상기 제 2 마스크 패턴(130a)의 제 1 개구부(143)의 폭을 넓혀, 제 2 마스크 미세 패턴(130b)이 형성된다. 상기 제 2 마스크 미세 패턴(130b)은 상기 제 1 개구부(143)보다 폭이 넓은 제 2 개구부(145)를 가진다. 상기 제 2 마스크 미세 패턴(130b)을 형성하는 것은 풀-백(pull-back) 공정을 진행하는 것을 포함할 수 있다. 상기 풀-백 공정을 진행하는 것은 상기 반도체 기판(100)을 식각 용액에 담궈서 상기 제 2 마스크 패턴(130a)의 측벽을 식각하는 것을 포함할 수 있다. 이때, 상기 제 1 마스크 패턴(120a)의 폭은 실질적으로 변경되지 않는다. 상기 제 2 마스크 미세 패턴(130b)의 폭은 상기 제 1 개구부(143)의 폭과 동일할 수 있다.
상기 제 2 마스크 패턴(130a)은 상기 제 1 마스크 패턴(120a)에 대하여 식각 선택성(etch selectivity)을 가진다. 상기 제 2 마스크 패턴(130a)이 실리콘 질화막인 경우 상기 식각 용액은 인산(H3PO4)을 포함하는 용액일 수 있다. 상기 제 2 마스크 패턴(130a)이 실리콘 산화막인 경우 상기 식각 용액은 불산(HF)을 포함하는 용액일 수 있다.
도 4a 및 4b를 참조하면, 상기 제 2 개구부(145) 및 상기 제 1 개구부(143)에, 상기 제 2 마스크 미세 패턴(130b)의 상부면을 노출하는 제 3 마스크 패턴(150)이 형성된다. 상기 제 3 마스크 패턴(150)을 형성하는 것은 상기 제 2 마스크 미세 패턴(130b)과 상기 제 1 마스크 패턴(120a)을 덮는 제 3 마스크막을 형성하는 것 그리고 상기 제 3 마스크막에 평탄화 공정을 진행하여 상기 제 2 마스크 미세 패턴(130b)의 상부면을 노출하는 것을 포함할 수 있다. 상기 제 3 마스크막은 상기 제 1 마스크막(120) 및 상기 제 2 마스크막(130)에 대하여 식각 선택성을 가질 수 있다. 예컨대, 상기 제 3 마스크막은 저압 화학 기상 증착 방법으로 형성된 폴리 실리콘막일 수 있다.
도 5a 및 5b를 참조하면, 식각 공정을 진행하여 상기 제 2 마스크 미세 패턴(130b)이 제거된다. 상기 제 2 마스크 미세 패턴(130b)을 제거하는 것은 습식 식각 공정을 포함할 수 있다. 상기 습식 식각 공정은 상기 제 3 마스크 패턴(150)보다 상기 제 2 마스크 미세 패턴(130b)에 대하여 식각 선택성(etch selectivity)을 가진다. 상기 제 3 마스크 패턴(150)은 폴리 실리콘막이고, 상기 제 2 마스크 미세 패턴(130b)은 실리콘 산화막 또는 실리콘 질화막일 수 있다.
도 6a 및 6b를 참조하면, 상기 제 3 마스크 패턴(150)을 마스크로 상기 제 1 마스크 패턴(120a)을 식각하여 제 1 마스크 미세 패턴(120b)이 형성된다. 상기 제 1 마스크 미세 패턴(120b)은 일 방향으로 평행하게 배열된 라인 형태일 수 있다. 상기 제 3 마스크 패턴(150)을 제거하여 상기 제 1 마스크 미세 패턴(120b)이 노출된다. 상기 제 3 마스크 패턴(150)을 제거하는 것은 습식 식각 공정을 포함할 수 있다. 상기 습식 식각 공정은 상기 제 1 마스크 미세 패턴(120b)보다 상기 제 3 마스크 패턴(150)을 선택적으로 식각할 수 있다. 상기 제 1 마스크 미세 패턴(120b)는 일정한 간격으로 배열될 수 있다.
도 7a 및 7b를 참조하면, 상기 제 1 마스크 미세 패턴(120b)을 마스크로 식각 공정을 진행하여 상기 반도체 기판(100)에 트렌치(160)가 형성된다. 상기 트렌치(160)를 형성하는 것은 플라즈마 건식 식각 공정을 포함할 수 있다. 상기 제 1 개구부(143)와 상기 제 2 마스크 미세 패턴(130b)이 동일한 폭을 가지므로, 상기 트렌치들(160)은 일정한 폭을 가질 수 있다.
도 8a 및 8b를 참조하면, 상기 트렌치(160)를 채우는 소자분리막(170)이 형성된다. 상기 소자분리막(170)을 형성하는 것은 상기 트렌치(160)에 화학 기상 증착 방법으로 형성된 실리콘 산화막을 채운 후, 평탄화 공정을 진행하여 상기 제 1 마스크 미세 패턴(120b)의 상부면을 노출하는 것을 포함할 수 있다. 상기 제 1 마스크 미세 패턴(120b)과 패드 산화막(105)을 제거하여, 상기 소자분리막(170)에 의하여 활성영역이 정의된다. 본 발명의 일 실시예에 따르면, 풀-백(pull-back) 공정 에 의하여 형성된 제 2 마스크 미세 패턴(130b)을 이용하여, 선폭이 감소된 활성영역 및 소자분리막(170)이 형성될 수 있다. 본 발명의 일 실시예에 따라 형성된 활성영역 및 소자분리막(170)을 가로지르는 게이트 라인이 형성될 수 있다. 상기 게이트 라인은 터널 절연막, 전하저장막, 블로킹 절연막 그리고 제어 게이트를 포함할 수 있다.
도 9a 내지 16a는 본 발명의 다른 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 사시도이다. 도 9b 내지 16b는 본 발명의 다른 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 단면도이다.
도 9a 및 9b를 참조하면, 반도체 기판(100) 상에 배선층(110)이 형성된다. 상기 배선층(110)은 게이트 패턴을 형성하기 위한 게이트층 또는 비트 라인(bit line)을 형성하기 위한 금속층을 포함할 수 있다. 상기 배선층(110) 상에, 앞에서 설명한 바와 같이, 제 1 마스크막(120)과 제 2 마스크막(130) 그리고 포토 레지스트 패턴(140)이 차례로 형성된다.
도 10a 내지 15b를 참조하면, 도 2a 내지 6b에서 설명한 것과 동일한 방법으로 상기 배선층(110) 상에 제 1 마스크 미세 패턴(120b)이 형성된다. 상기 제 1 마스크 미세 패턴(120b)은 일 방향으로 평행하게 배열되는 라인 형태일 수 있다.
도 16a 및 16b를 참조하면, 상기 제 1 마스크 미세 패턴(120b)을 마스크로 상기 배선층(110)을 식각하여 배선 패턴(110a)이 형성된다. 상기 배선 패턴(110a)은 게이트 패턴 또는 비트 라인을 포함할 수 있다. 본 발명의 다른 실시예에 따르면, 풀-백(pull-back) 공정을 진행하여 제 2 마스크 미세 패턴(130b)이 형성될 수 있다. 이에 따라, 선폭 및 간격이 감소된 게이트 패턴 또는 비트 라인이 형성될 수 있다.
도 17a 내지 24a는 본 발명의 또 다른 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 사시도이다. 도 17b 내지 24b는 본 발명의 또 다른 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 평면도이다. 도 17c 내지 24c는 본 발명의 또 다른 실시예에 따른 반도체 소자의 형성방법을 설명하기 위한 단면도이다. 도 17c 내지 24c는 도 17b 내지 24b의 Ⅰ-Ⅰ´라인을 따라 취해진 단면도이다.
도 17a 내지 17c를 참조하면, 반도체 기판(100) 상에 주형 산화막(115)이 형성된다. 상기 반도체 기판(100)은 트랜지스터와 스토리지 노드 콘택(storage node contact) 그리고 비트라인 등을 포함할 수 있다. 상기 주형 산화막(115)은 화학 기상 증착 방법으로 형성된 실리콘 산화막일 수 있다. 상기 주형 산화막(115) 상에 비정질 탄소막(amorphous carbon layer,117)이 형성될 수 있다. 상기 비정질 탄소막(117)은 화학 기상 증착 방법으로 형성될 수 있다. 상기 비정질 탄소막(117) 상에 반사 방지막(anti-reflective layer,118)이 형성된다. 상기 반사 방지막(118)은 화학 기상 증착 방법으로 형성된 실리콘 산화질화막일 수 있다. 상기 반사 방지막(118) 상에, 앞에서 설명한 바와 같이, 제 1 마스크막(120)이 형성된다. 상기 제 1 마스크막(120) 상에 제 2 마스크막(130)이 형성된다. 상기 제 2 마스크막(130) 상에 포토 레지스트 패턴(140)이 형성된다.
도 18a 내지 22c를 참조하면, 도 2a 내지 6b에서 설명한 것과 동일한 방법으로 상기 반사방지막(118) 상에 제 1 마스크 미세 패턴(120b)이 형성된다. 제 1 마 스크 패턴(120a) 및 제 2 마스크 패턴(120b)이 갖는 제 1 개구부(143)는 홀(hole)의 형태이다. 또한, 제 2 개구부(145)는 상기 제 1 개구부(143)보다 폭이 넓은 홀(hole)이다. 상기 제 1 마스크 미세 패턴(120b)은 실린더 형태이다. 상기 실린더의 평단면은 원형 또는 타원형일 수 있다.
도 23a 내지 23c를 참조하면, 상기 실린더 형태의 제 1 마스크 미세 패턴(120b)을 마스크로 상기 반사 방지막(118), 상기 비정질 탄소막(117) 그리고 주형 산화막(115)이 식각되어, 주형 산화막 패턴(115a)이 형성된다. 상기 주형 산화막 패턴(115a)이 형성된 후, 상기 비정질 탄소막(117)과 상기 반사 방지막(118)은 제거될 수 있다. 상기 주형 산화막 패턴(115a)이 형성될 때, 상기 반사 방지막(118)과 상기 비정질 탄소막(117)이 하드 마스크층으로서 기능할 수 있다.
도 24a 내지 24c를 참조하면, 상기 주형 산화막 패턴(115a)의 측면에 하부 전극 또는 스토리지 노드(180)가 형성된다. 상기 하부 전극(180)은 상기 반도체 기판(100)의 스토리지 노드 콘택과 연결될 수 있다. 상기 하부전극(180)을 형성하는 것은 상기 주형 산화막 패턴(115a)을 콘포멀(conformal)하게 덮는 금속막을 형성하는 것, 상기 금속막이 가지는 개구부를 채우는 희생 산화막을 형성하는 것, 상기 희생 산화막에 평탄화 공정을 진행하여 상기 주형 산화막 패턴(115a)을 노출하는 것 그리고 상기 희생 산화막과 상기 주형 산화막 패턴(115a)을 제거하는 것을 포함할 수 있다. 다른 실시예에 따르면, 풀-백 공정에 의하여 제 2 마스크 미세 패턴이 형성된다. 제 2 마스크 미세 패턴을 이용하여 DRAM에 사용될 수 있는 선폭이 좁은 주형 산화막 패턴(115a)이 형성될 수 있다.
본 발명의 실시예에 따르면, 풀-백 공정에 의하여 선폭이 감소된 마스크 미세 패턴이 형성된다. 추가적인 노광 설비의 투자없이 고집적화된 반도체 소자의 패턴이 형성될 수 있다.
한편, 풀-백 공정이 진행된 후 트렌치, 게이트 패턴, 비트 라인 또는 하부전극이 형성되므로 각각의 패턴이 일정한 프로파일(profile)을 가질 수 있다.
이에 따라, 선폭이 감소된 패턴을 가지는 반도체 소자가 형성될 수 있다.

Claims (20)

  1. 반도체 기판 상에 제 1 마스크막을 형성하는 것;
    상기 제 1 마스크막 상에 제 2 마스크막을 형성하는 것;
    상기 제 1 마스크막과 상기 제 2 마스크막을 패터닝하여, 제 1 개구부를 각각 갖는 제 1 마스크 패턴과 제 2 마스크 패턴을 형성하는 것;
    상기 제 2 마스크 패턴의 상기 제 1 개구부를 넓혀, 상기 제 1 개구부보다 폭이 넓은 제 2 개구부를 갖는 제 2 마스크 미세 패턴을 형성하는 것;
    상기 제 2 개구부 및 상기 제 1 개구부에, 상기 제 2 마스크 미세 패턴의 상부면을 노출하는, 제 3 마스크 패턴을 형성하는 것; 그리고
    상기 노출된 제 2 마스크 미세 패턴을 제거하고, 상기 제 3 마스크 패턴을 마스크로 상기 제 1 마스크 패턴을 식각하여 제 1 마스크 미세 패턴을 형성하는 것을 포함하는 반도체 소자의 형성방법.
  2. 청구항 1에 있어서,
    상기 제 1 개구부의 폭은 상기 제 2 마스크 미세 패턴의 폭과 동일한 것을 특징으로 하는 반도체 소자의 형성방법.
  3. 청구항 1에 있어서,
    상기 제 1 마스크 미세 패턴을 형성한 후,
    상기 제 3 마스크 패턴을 제거하여 상기 제 1 마스크 미세 패턴을 노출하는 것을 더 포함하는 반도체 소자의 형성방법.
  4. 청구항 3에 있어서,
    상기 제 1 마스크 미세 패턴은 일 방향으로 평행하게 배열되는 라인 형태인 것을 특징으로 하는 반도체 소자의 형성방법.
  5. 청구항 3에 있어서,
    상기 제 1 마스크 미세 패턴을 마스크로 상기 반도체 기판에 트렌치를 형성하는 것; 그리고
    상기 트렌치를 채우는 소자분리막을 형성하는 것을 더 포함하는 반도체 소자의 형성방법.
  6. 청구항 3에 있어서,
    상기 제 1 마스크막을 형성하기 전에, 상기 반도체 기판 상에 배선층을 형성하는 것; 그리고
    상기 제 1 마스크 미세 패턴을 마스크로 상기 배선층을 식각하여, 배선 패턴을 형성하는 것을 더 포함하는 반도체 소자의 형성방법.
  7. 청구항 1에 있어서,
    상기 제 2 마스크 미세 패턴을 형성하는 것은 풀-백 공정을 진행하는 것을 포함하는 반도체 소자의 형성방법.
  8. 청구항 1에 있어서,
    상기 제 3 마스크 패턴을 형성하는 것은:
    상기 제 2 마스크 미세 패턴과 상기 제 1 마스크 패턴을 덮는 제 3 마스크막을 형성하는 것; 그리고
    상기 제 3 마스크막에 평탄화 공정을 진행하여 상기 제 2 마스크 미세 패턴의 상부면을 노출하는 것을 포함하는 반도체 소자의 형성방법.
  9. 청구항 1에 있어서,
    상기 제 2 마스크막은 상기 제 1 마스크막에 대하여 식각선택성을 가지는 것을 특징으로 하는 반도체 소자의 형성방법.
  10. 청구항 9에 있어서,
    상기 제 2 마스크막은 실리콘 산화막이고,
    상기 제 1 마스크막은 실리콘 질화막인 것을 특징으로 하는 반도체 소자의 형성방법.
  11. 청구항 9에 있어서,
    상기 제 2 마스크막은 실리콘 질화막이고,
    상기 제 1 마스크막은 실리콘 산화막인 것을 특징으로 하는 반도체 소자의 형성방법.
  12. 청구항 1에 있어서,
    상기 제 3 마스크막은 상기 제 2 마스크막에 대하여 식각선택성을 가지는 것을 특징으로 하는 반도체 소자의 형성방법.
  13. 청구항 12에 있어서,
    상기 제 3 마스크막은 폴리 실리콘막이고,
    상기 제 2 마스크막은 실리콘 산화막 또는 실리콘 질화막인 것을 특징으로 하는 반도체 소자의 형성방법.
  14. 청구항 1에 있어서,
    상기 제 1 마스크막을 형성하기 전에,
    상기 반도체 기판 상에 주형 산화막을 형성하는 것을 더 포함하는 반도체 소자의 형성방법.
  15. 청구항 14에 있어서,
    상기 제 1 개구부는 홀 형태인 것을 특징으로 하는 반도체 소자의 형성방법.
  16. 청구항 15에 있어서,
    상기 제 1 마스크 미세 패턴은 실린더 형태인 것을 특징으로 하는 반도체 소자의 형성방법.
  17. 청구항 16에 있어서,
    상기 노출된 제 1 마스크 미세 패턴을 마스크로 상기 주형 산화막을 식각하여, 실린더 형태의 주형 산화막 패턴을 형성하는 것을 더 포함하는 반도체 소자의 형성방법.
  18. 청구항 14에 있어서,
    상기 제 1 마스크막을 형성하기 전에,
    상기 주형 산화막 상에 비정질 탄소막을 형성하는 것; 그리고
    상기 비정질 탄소막 상에 반사 방지막을 형성하는 것을 더 포함하는 반도체 소자의 형성방법.
  19. 청구항 18에 있어서,
    상기 노출된 제 1 마스크 미세 패턴을 마스크로 상기 비정질 탄소막과 상기 반사 방지막 그리고 상기 주형 산화막을 식각하여, 실린더 형태의 주형 산화막 패턴을 형성하는 것을 더 포함하는 반도체 소자의 형성방법.
  20. 청구항 17 또는 청구항 19에 있어서,
    상기 주형 산화막 패턴을 콘포멀하게 덮으며, 개구부를 갖는 금속막을 형성하는 것;
    상기 개구부를 채우는 희생 산화막을 형성하는 것;
    상기 희생 산화막에 평탄화 공정을 진행하여, 상기 주형 산화막 패턴을 노출하는 것; 그리고
    상기 희생 산화막과 상기 주형 산화막 패턴을 제거하여 커패시터의 하부전극을 형성하는 것을 더 포함하는 반도체 소자의 형성방법.
KR1020060098060A 2006-10-09 2006-10-09 반도체 소자의 형성방법 KR100816753B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020060098060A KR100816753B1 (ko) 2006-10-09 2006-10-09 반도체 소자의 형성방법
US11/653,363 US20080085472A1 (en) 2006-10-09 2007-01-16 Method of fabricating semiconductor device
TW096137718A TW200832510A (en) 2006-10-09 2007-10-08 Method of fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060098060A KR100816753B1 (ko) 2006-10-09 2006-10-09 반도체 소자의 형성방법

Publications (1)

Publication Number Publication Date
KR100816753B1 true KR100816753B1 (ko) 2008-03-25

Family

ID=39275205

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060098060A KR100816753B1 (ko) 2006-10-09 2006-10-09 반도체 소자의 형성방법

Country Status (3)

Country Link
US (1) US20080085472A1 (ko)
KR (1) KR100816753B1 (ko)
TW (1) TW200832510A (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8853092B2 (en) * 2011-12-30 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned patterning with implantation
US9082870B2 (en) * 2013-03-13 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of packaging semiconductor devices
EP3035379B1 (en) 2014-12-15 2020-07-01 IMEC vzw Method for blocking a trench portion
US9698048B2 (en) * 2015-03-27 2017-07-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device
US10529570B1 (en) * 2018-11-20 2020-01-07 Nanya Technology Corporation Method for preparing a semiconductor structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06130648A (ja) * 1992-10-19 1994-05-13 Sanyo Electric Co Ltd フォトマスクの製造方法
KR20010026120A (ko) * 1999-09-03 2001-04-06 윤종용 반도체장치의 미세패턴 형성방법
KR20010028305A (ko) * 1999-09-20 2001-04-06 윤종용 위치정합 보정 방법
JP2002260990A (ja) 2001-03-05 2002-09-13 Semiconductor Leading Edge Technologies Inc 微細レジストパターンの形成方法及び半導体装置

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5902133A (en) * 1997-08-13 1999-05-11 Vanguard International Semiconductor Corporation Method of forming a narrow polysilicon gate with i-line lithography
TW495887B (en) * 1999-11-15 2002-07-21 Hitachi Ltd Semiconductor device and manufacturing method of the same
KR100338780B1 (ko) * 2000-09-15 2002-06-01 윤종용 층간절연막의 손상을 방지할 수 있는 반도체 메모리 소자및 그 제조방법
US6778268B1 (en) * 2001-10-09 2004-08-17 Advanced Micro Devices, Sinc. System and method for process monitoring of polysilicon etch
US6833232B2 (en) * 2001-12-20 2004-12-21 Dongbu Electronics Co., Ltd. Micro-pattern forming method for semiconductor device
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US7824842B2 (en) * 2005-10-05 2010-11-02 Asml Netherlands B.V. Method of patterning a positive tone resist layer overlaying a lithographic substrate

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06130648A (ja) * 1992-10-19 1994-05-13 Sanyo Electric Co Ltd フォトマスクの製造方法
KR20010026120A (ko) * 1999-09-03 2001-04-06 윤종용 반도체장치의 미세패턴 형성방법
KR20010028305A (ko) * 1999-09-20 2001-04-06 윤종용 위치정합 보정 방법
JP2002260990A (ja) 2001-03-05 2002-09-13 Semiconductor Leading Edge Technologies Inc 微細レジストパターンの形成方法及び半導体装置

Also Published As

Publication number Publication date
US20080085472A1 (en) 2008-04-10
TW200832510A (en) 2008-08-01

Similar Documents

Publication Publication Date Title
KR101045090B1 (ko) 반도체 소자의 미세 패턴 형성방법
KR100816753B1 (ko) 반도체 소자의 형성방법
JP2008091868A (ja) 半導体素子のリセスゲートの製造方法
KR100739656B1 (ko) 반도체 장치의 제조 방법
KR100615593B1 (ko) 리세스채널을 구비한 반도체소자의 제조 방법
US8741734B2 (en) Semiconductor device and method of fabricating the same
JP2006135067A (ja) 半導体装置およびその製造方法
KR102327667B1 (ko) 반도체 소자의 제조 방법
KR100843239B1 (ko) 더블 패터닝 공정을 이용하는 반도체 소자의 미세 패턴형성 방법
KR20060036546A (ko) 반도체 소자의 소자 분리막 형성 방법
US20060278607A1 (en) Method for fabricating semiconductor device with step gated asymmetric recess structure
KR100455379B1 (ko) 플래시 메모리 장치의 제조방법
CN113725166B (zh) 半导体结构制备方法及半导体结构
TWI471939B (zh) 單側埋入帶之製造方法
CN112259505B (zh) 半导体器件鳍体的形成方法
US11309183B2 (en) Semiconductor structure and forming method thereof
CN112992669B (zh) 半导体结构及其形成方法
KR20060124022A (ko) 플래쉬 메모리 소자의 게이트 형성방법
CN115223863A (zh) 半导体结构的制作方法
KR100528797B1 (ko) 반도체 소자의 소자분리막 형성 방법
KR20060066217A (ko) 반도체 소자의 제조방법
KR100870293B1 (ko) 플래시 메모리 소자의 제조 방법
KR930009130B1 (ko) 메모리 셀 제조방법
KR100636681B1 (ko) 반도체 소자의 제조방법
KR101030298B1 (ko) 스택 게이트형 플래쉬 메모리 소자의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee