KR100890665B1 - 이중층 레티클 블랭크 및 이것의 제조방법 - Google Patents

이중층 레티클 블랭크 및 이것의 제조방법 Download PDF

Info

Publication number
KR100890665B1
KR100890665B1 KR1020077023709A KR20077023709A KR100890665B1 KR 100890665 B1 KR100890665 B1 KR 100890665B1 KR 1020077023709 A KR1020077023709 A KR 1020077023709A KR 20077023709 A KR20077023709 A KR 20077023709A KR 100890665 B1 KR100890665 B1 KR 100890665B1
Authority
KR
South Korea
Prior art keywords
resist
layer
exposure
reticle
passes
Prior art date
Application number
KR1020077023709A
Other languages
English (en)
Other versions
KR20070107810A (ko
Inventor
토르브외른 잔트스트룀
Original Assignee
마이크로닉 레이저 시스템즈 에이비
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 마이크로닉 레이저 시스템즈 에이비 filed Critical 마이크로닉 레이저 시스템즈 에이비
Publication of KR20070107810A publication Critical patent/KR20070107810A/ko
Application granted granted Critical
Publication of KR100890665B1 publication Critical patent/KR100890665B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2053Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a laser
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • G03F1/34Phase-edge PSM, e.g. chromeless PSM; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/162Coating on a rotating support, e.g. using a whirler or a spinner
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2051Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source
    • G03F7/2059Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam
    • G03F7/2063Exposure without an original mask, e.g. using a programmed deflection of a point source, by scanning, by drawing with a light beam, using an addressed light or corpuscular source using a scanning corpuscular radiation beam, e.g. an electron beam for the production of exposure masks or reticles
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/143Electron beam

Abstract

본 발명은 반도체 및 그밖의 소자의 제조 시에 마스크로서 사용되는 패터닝된 레티클의 제조에 관한 것이다. 레티클 상의 마스킹층 위에 레지스트와 전사층을 사용하는 방법 및 장치가 기술되어 있다. 상기 방법 및 장치에 의해 마스크 및 위상 이동 마스크에서 소형 피쳐 치수가 생성된다. 마스크에 대해 기술된 방법은, 대부분의 경우에, 반도체, 극저온, 자기 및 광학 미세소자와 같은 거의 동등하게 소형의 피쳐를 구비한 그밖의 워크피스 상에서 직접적으로 기록하는데 적용할 수 있다.

Description

이중층 레티클 블랭크 및 이것의 제조방법 {DUAL LAYER RETICLE BLANK AND MANUFACTURING PROCESS}
본 발명은 반도체 및 그밖의 장치를 제조할 경우에 마스크로서 사용되는 패터닝된 레티클의 제조에 관한 것이다. 레티클 상의 마스킹층 위에 레지스트 및 전사층을 사용하는 방법 및 장치가 기술되어 있다.
반도체 소자는 다층 구조물을 포함한다. 상기 구조물은 레지스트를 도포시킨 후에 노광시키는 단계, 현상시키는 단계, 및 레지스트를 선택적으로 제거하여 노광된 영역의 패턴을 형성하는 단계를 포함하는 다단계로 형성된다. 노광된 영역은 에칭되어 재료가 제거되거나, 스퍼터링되어 재료가 첨가될 수 있다. 레지스트에 패턴을 형성하는 핵심적인 부분은 노광 과정이다. 레지스트가 에너지 빔에 노광되면 레지스트의 화학적 성질이 변화된다. 레지스트를 노광시키는 비용면에서 가장 효과적인 방법 중 하나는 스텝퍼(stepper)를 사용하는 것이다. 스텝퍼에는 레티클이 사용되는데, 이것은 노광 영역 및 노광되지 않고 남아있는 영역으로 패터닝된 비투과층 또는 마스킹층에 의해 덮혀진, 일반적으로 주의깊게 제작되는 투과성이 있는 석영 기판을 포함한다. 패턴화 공정은 레티클을 제조하는데 있어서 핵 심적인 단계이다. 레티클은 플랫-패널 디스플레이 및 텔레비젼 또는 모니터 스크린과 같은 반도체 및 그밖의 장치를 제조하는데 사용된다.
반도체 소자는 점차적으로 소형화되고 있다. 반도체 소자의 피쳐 치수(feature dimension)는 30년이 넘는 기간 동안 3년마다 대략 40%까지 감소되었으며, 추가로 감소될 것으로 예측된다. 개발에 대한 시간적인 속도가 기존과 다른 15년 동안 지속되는 경우, 대략 0.13 마이크론의 현재 최소 라인폭은 0.025 마이크론으로 감소될 것이다.
반도체 소자를 제조하는데 사용된 레티클 상의 패턴은 일반적으로 노광되는 웨이퍼 상의 패턴보다 4배 더 크다. 지금까지, 이러한 감소 인자는 레티클의 최소 피쳐 치수가 반도체 표면 상의 최소 피쳐 치수보다 덜 임계적이라는 것을 의미한다. 그러나, 이러한 임계도 차는 예측될 수 있는 것보다 훨씬 더 적으며, 이는 가까운 미래에는 소멸될 것으로 보인다.
라인폭의 퍼센트로서 임계 치수의 균일도는 웨이퍼 표면 상의 피쳐에서보다 레티클 상의 패턴에서 더 정확하다. 웨이퍼 상에서, 플러스 또는 마이너스 10%의 라인폭의 임계 치수 균일도가 지금까지 허용되어 왔다. 웨이퍼 라인폭의 오차 산출(error budget)에서, 마스크는 임계 치수변화 또는 라인폭의 5%의 변화에 대해 절반 정도 기여할 수 있었다. 그밖의 인자가 남아있는 오차 산출에 사용된다. 레티클로부터 웨이퍼까지 패턴을 전사시킬 때의 비선형성(nonlinearity)에 의해 마스크 내에 임의의 사이즈 오차가 증폭되는 것으로 확인되었다. 이것은 경험적으로 마스크 오차 향상 인자(MEEF 또는 MEF)로서 간주된다. 현재의 기술에서, 마스크 오차 향상 인자는 일반적으로 2이다. 따라서, 레티클 상의 임계 치수 균일성이 오차산출 내에 남아있도록 대략 라인폭의 2.5%로 감소된다.
특히 마스크의 임계 치수 균일성에 대한 요구 조건이 머지않아 강화될 것이다. 웨이퍼 표면 상에서, 플러스 또는 마이너스 5%의 라인폭의 임계 치수 균일도가 가까운 미래에 요구될 것이다. 동시에, 마스크 오차 향상 인자는, 이론적인 해상도 한계치에 보다 근접하는 최소 피쳐 치수를 사용하기 위해 콘택트 홀, 트랜지스터 또는 그 밖의 임계 피쳐를 제조하는데 최적화되는 리소그래픽 공정을 튜닝시키는 것과 같이, 보다 공격적인 리소그래픽 공정 트레이드-오프로 인해 증가되기 쉽다. 마스크에 대해서, 마이너스 또는 플러스 1%의 라인폭 또는 피쳐 사이즈의 임계 치수 균일도가 예측될 것이다. 이러한 비율에서, 스텝퍼가 노광되는 웨이퍼 상의 영역보다 4배 더 큰 마스크를 이용한다는 사실에도 불구하고, 마스크 상의 임계치수 오차에 대한 허용도는 이것이 웨이퍼 표면 상에 있는 것보다 절대 나노미터가 더 작을 것이다.
레지스트를 노광시키는데 현재 사용되는 에너지 빔 공급원 중 하나는 파장 범위가 100 내지 300nm인 원자외선(DUV)이다. 이러한 에너지 공급원은 마스크를 제조하기 위한 하기와 같은 2가지 유형의 레지스트와 함께 사용된다: 통상적으로 사용되는 포지티브형의 소위 노볼락-DNQ 레지스트 및 화학적으로 증폭된 레지스트. 본질적으로 스텝퍼에서 모든 DUV 노광 장치는 화학적으로 증폭된 레지스트를 사용한다. 레티클을 패터닝하기 위한 패턴 발생기의 요구 조건은, 화학적으로 증폭된 레지스트가 레티클을 패터닝하는데 부적합한 스텝퍼를 사용하는 경우보다 다양하 다. 마스크 패턴을 DUV 노광시키는데 적합한 레지스트를 제조하기 위한 통상의 노볼락-DNQ 레지스트를 개질시키는 작업은 실패한 것으로 보고되었다.
균일성 및 피쳐 사이즈에 대한 요구 조건에 의해 습식 에칭이 더 이상 적합하지 않은 것으로 여겨지게 되었다. 피쳐 사이즈가 피쳐가 에칭되는 막 두께에 근접하는 경우, 습식 에칭은 일반적으로 사용불가능하다. 습식 에칭은 이것이 수직으로 에칭되는 만큼 많이 측부를 에칭한다. 소형 피쳐의 3차원 형태가 변형된다. 크롬이 에칭 마스크로서 레지스트를 사용하여 습식 에칭되는 경우, 식각제가 레지스트 아래의 크롬을 제거하는데, 이것을 "언더커팅(undercutting)"이라고 한다. 레지스트 마스크를 사용하여 습식 에칭 크롬에 의해 생성된 밝은 영역은 일반적으로 0.2 마이크론 정도로 지나치게 커진다. 동일하게 0.4 마이크론 폭으로 교차되는 라인 및 공간을 구비한 습식 에칭된 레지스트 이미지는 (밝은) 공간의 폭이 0.6 마이크론이고 (어두운) 라인의 폭이 0.2 마이크론인 크롬 마스크 패턴을 형성한다. 이것은 큰 편차이다. 데이터 또는 도즈(dose)를 변경시킴으로써 이러한 편차를 보상시키는 것은 어렵다. 피쳐가 작을수록, 좁은 라인이 간단하게 제거될 것이다. 따라서, 폭이 0.5 내지 0.6 마이크론보다 작은 피쳐를 갖는 임의의 패턴은 건식 또는 플라즈마 에칭으로 형성해야 한다. 크롬을 에칭하는데 사용된 플라즈마 공정에 의해 수직의 "가시적(line of sight)" 에칭 특성이 얻어진다. 크롬은 플라즈마 공급원으로부터 가시 영역에 있는 경우에만 제거되므로, 본질적으로 언더커팅되지 않는다.
포지티브형의 비증폭형된 레지스트를 사용하는 경우의 문제점
포지티브형의 비증폭된 레지스트는 보라색 가시광선 및 인접한 UV 파장 범위에서 우수한 성능을 제공한다. 이 레지스트는 투명하고 높은 콘트라스트를 보유하는 동시에, 거의 수직의 레지스트 벽 및 양호한 공정 관용도를 제공한다. 이것의 저장 수명은 양호하며, 마스크 블랭크는 제조시에 레지스트로 예비코팅되어 사용할 때까지 사용자에게 운송되고 저장될 수 있다. 잠상이 약간 손상된다 하더라도, 원칙적으로 플레이트를 노광시킬 수 있으며, 몇 주 후에 현상시킬 수 있다.
DUV 파장 범위에서, 노볼락 수지 및 노볼락에 사용된 광활성 화합물이 강하게 흡수된다. 현상시킨 후에 가장자리 벽 각도가 광 흡수 및 부분적으로는 레지스트 콘트라스트에 의해 부분적으로 제어된다. 흡수도가 높으면, 화학적 콘트라스트가 무엇이든지에 상관없이, 피쳐는 완강한 경사의 가장자리 벽을 갖게 된다. 어떠한 비증폭된 레지스트 포물레이션도, 높은 투명도를 가지면서 양호한 콘트라스트를 갖는 것으로 공지되어 있지 않다.
비수직형 트렌치 벽의 효과는 좁은 라인에 대해서는 현저하다. 비수직형 트렌치 벽에 대한 이유 중 하나는, 레지스트층이 에칭 중에 플라즈마에 의해 부식된다는 점이다. 레지스트 부식의 균일성은 제어하기 어려운데, 왜냐하면 여러 이유 중에서 에칭시킬 패턴에 따라 이것이 달라지기 때문이다. 부식에 의해 밝은 영역이 더 확대되고, 워크피스의 표면을 가로질러 차례대로 플라스마 활성이 변화됨으로써 마스크와 각 마스크 사이의 CD가 달라지게 된다. 플라즈마 에칭 단계의 마지막에서 일어나는 레지스트 두께의 변화는 피크-투-밸리(peak-to-valley)를 기준으로 50nm 이상일 수 있다. 벽 각도가 90°대신에 80°인 경우에, 레지스트 두께가 50nm만큼 변화되며 트렌치 바닥에서 트렌치 벽의 두께가 거의 20nm만큼 변화되는데, 이것은 20nm의 바람직하지 못한 3개의 시그마 편차로 해석될 수 있다. 이러한 부식 문제는 DUV 조사선을 사용하여 비화학적으로 증폭된 레지스트의 높은 흡광도에 의해 악화된다. 흡광도가 높으면 바닥보다 트렌치 정상부에서 레지스트가 훨씬더 잘 현상되어, 라인폭이 더 잘 변화된다.
90°로부터의 레지스트 측벽 편차에 의해 라인 해상도가 불가피하게 제한된다. 측벽의 각도가 80°인 0.5 마이크론 두께의 레지스트층에서, 레지스트층의 정상부에서 0.025 마이크론의 폭을 갖는 라인은 크롬이 에칭되는 레지스트층의 바닥에서 그 폭이 0.2 마이크론에 불과하다. 현재의 화학 기술을 사용하여, 0.4 내지 0.5 마이크론보다 더 얇은 레지스트를 제조하고 건식 에칭 동안에 크롬을 계속적으로 보호하는 것은 불가능하다. 레지스트층의 정상부에서 라인이 좁은 경우, 벽 각도는 바람직하지 못하게 되거나 레지스트가 두꺼워지게 되어, 라인이 소멸되는 경향이 있다.
확실히, 라인 폭이 점점 더 좁아지고 관용도가 감소되며 파장이 원자외선으로 이동함에 따라, 상기 각각의 문제는 점점 더 악화된다.
화학적으로 증폭된 레지스트를 사용한 경우의 문제점
화학적으로 증폭된 레지스트를 사용해도 다른 문제가 야기된다. 스텝퍼 공정으로 현상된 화학적으로 증폭된 레지스트는 투명하며 높은 콘트라스트를 보유하는 동시에, 거의 완벽한 수직 레지스트 벽을 제공한다. 그러나, 이들은 노광시킨 후에 열 어닐링 또는 열 활성화 단계, 말하자면 노광후 열처리(PEB)를 실시해야 한 다. 활성화 및 화학적 증폭과정은 이러한 열처리 온도에 매우 민감하다. 레티클 상에서 화학적으로 증폭된 레지스트를 사용하는 것은 레티클의 두께 및 형상 때문에 웨이퍼 상에서 그것을 사용하는 것보다 훨씬 더 어렵다. 레터클은 실리콘 웨이퍼보다 더욱 두꺼우며, 열적으로 덜 전도성을 띠기 때문에, 열처리 순서를 정확학 제어하는 것이 더욱 어렵다. 또한, 레티클이 사각형이기 때문에, 둥근 웨이퍼를 사용한 경우에는 얻어지지 않은 코너 효과가 초래된다. 이러한 노광후 열처리 문제는 반드시 화학적으로 증폭시킨 레지스트에만 한정시킬 필요는 없으나, 열처리 단계의 중요성 때문에 특히 화학적으로 증폭시킨 레지스트에서 일어나는 문제이다. 상기와 같은 동일한 문제를 일으키는 정지파 간섭 효과를 고르게 하기 위해, 비화학적으로 증폭시킨 레지스트를 가끔씩 노광후 열처리시키기도 한다. 2가지 종류 모두의 레지스트에 대해서 노광후 열처리에 의해 잠상 확산 문제가 유발되기도 하나, 열처리 후에 탈활성화시키는 경우에 정지파를 감소시키는데 대해 최적화된 것보다 더 실질적으로 상이한 온도가 필요하기 때문에, 상기 문제는 화학적으로 증폭된 레지스트에 대해 더 심각하다.
화학적으로 증폭된 레지스트의 부가적인 문제는 이들이 불안정하며 작업 수명이 짧다는 것이다. 화학적으로 증폭된 레지스트는 스텝퍼를 사용하기 위해서 개발된 것으로서, 이것은 마스크 라이터(writer)가 하나의 마스크를 생산하는 것과 동시에 100 내지 500개의 웨이퍼를 완성할 수 있다. 레지스트의 상대적으로 짧은 작업 수명 내에서, 화학적으로 증폭된 레지스트는 웨이퍼 표면 상에서 회전되어, 이들이 스텝퍼 내에 위치하기 직전에 곧바로 예비열처리되고, 직후에 자동화된 라 인 상에서 열처리된다. 이것은 마스크 라이터 내에서 사용하는데 부적합한 화학적으로 증폭된 레지스트가 실시간으로 형성시키며, 마스크를 기록하는데 10시간 이상이 소요될 수 있으며, 일반적으로 자동화된 가공 라인 없이 조작된다. 관련된 문제는 예비열처리로부터 후열처리까지 소요되는 시간이 기록된 패턴에 따라 달라지며, 이것이 매우 가변적이라는 것이다. 마스크 제조시에 보다 적합한 화학적으로 증폭된 레지스트가 사용되도록 개발됨에 따라, 마스크 기록 시간의 실질적인 변화를 고려해야 할 것이다.
모든 단일층 레지스트에 대한 공통적인 문제점
모든 단일층 레지스트는 가까운 미래에 이들을 덜 적합하게 하는 특성을 공유하고 있다. 마스크 패턴은 건식 현상시킬 수 있는 공정이 존재하지 않기 때문에, 항상 습식 현상된다. 또한, 최소 레지스트층의 두께는, 크롬이 제거되지 않은 영역에서 플라즈마 부식에 대해 저항을 부여하도록, 본질적으로 피쳐 사이즈와는 상관없이 0.4 내지 0.5 마이크론으로 일정하다. 피쳐가 매우 작아짐에 따라, 습식 현상된 레지스트 구조물의 어스펙트 비(aspect ratio)는 바람직하지 못하게 될 것이다. 100억개의 피쳐를 갖는 마스크 패턴에서, 이러한 높은 어스펙트 비를 갖는 피쳐의 일부는 습식 가공 동안에 유체역학력 및 표면 장력에 의해 손상될 것이다.
노광에 있어서, 단일층 레지스트의 투명도와 간섭 효과 사이를 트레이드-오프시켜야 한다. 얇은 레지스트층은 정상부로부터 바닥까지 노광되도록 투명해야 하나, 이러한 투명성에 의해 레지스트의 유효 성능을 저하시키고 공정 가변성을 증가시키는 광 간섭이 발생된다. 2가지 간섭 효과를 때때로 정지파 및 벌크 효과라 고 하기도 한다. 정지파 효과는, 레티클 표면 쪽으로 유도된 광과 역으로 반사된 광 사이에 있는 레지스트층내의 간섭으로부터 야기된다. 비투과성의 거울과 유사한 마스킹층쪽으로 유도된 광과 상기 층으로부터 역으로 반사된 광은, 상기 유도되고 반사된 광의 크레스트(crest)와 드로우(through)가 일치되는 정지파를 생성한다. 이것으로, 다소 완전하게 노광된 레지스트의 수직 밴드가 형성된다. 레지스트가 현상되고 선택적으로 제거되는 경우 생성되는 트렌치의 측면이 울퉁불퉁해지기 쉬운 경향이 있는데, 이것을 정지파 효과라고도 한다. 관련된 벌크 효과는, 레지스트 표면으로부터 반사되는 광과, 레티클 표면 및 레지스트로부터 역으로 반사되는 광 사이에 있는 레지스트층 위의 간섭으로부터 비롯된다. 특정 두께의 레지스트에서, 레지스트로 유입되는 광과 레지스트로부터 반사되는 광 사이의 파괴적인 간섭이 존재하며, 이것에 의해 최대수의 광자가 레지스트층 내에 머무르게 되어 감도가 높아진다. 막의 감도와 균일성을 야기하는 레지스트 부피 또는 두께의 변화가 패턴 내에서 일어난다. 레지스트 막이 보다 투명해짐에 따라 간섭 효과는 감소되나, 에칭 슬로프는 악화될 것이다. 이러한 문제는 일반적으로 그리고 화학적으로 증폭된 레지스트에서 공통적인 문제이다. 웨이퍼 리소그래피에서, 상기 딜레마는 레지스트 하에서 그리고 때때로 레지스트의 정상부에 존재하는 얇은 반사방지 코팅을 사용하여 정상적으로 해소된다.
마스크 생산은 부가적인 문제에 직면하고 있다. 예를 들어, 낮은 생산 부피 때문에 생산을 제어하는 것은 어렵다. 반도체 생산의 질을 개선시키는데 사용된 모니터링 및 피드백 기술은 적은 양으로 생산하는 경우에는 용이하게 적용되지 않 는다. 따라서, 마스크 샵(shop)은 보다 안정한 반도체 제조 공정을 필요로 한다.
따라서, 레티클을 패턴화시키고 레티클 내에서 위상 이동 창을 형성시키기 위한 신규한 공정을 개발해야 한다. 바람직하게는 신규 공정은, 비화학적으로 증폭된 레지스트 또는 이미 현상되고 증폭된 레지스트에 적합할 것이며, 이것으로 그밖의 공정 위험(hazard) 및 간섭 효과가 방지됨으로써 매우 균일하며 초소형인 피쳐 사이즈가 형성될 것이다.
본 발명의 과제는, 다양한 에너지 공급원에 따라 적합한 기술을 사용하여 정확한 임계 치수를 갖는 레티클 상에 소형 피쳐를 형성하는 것이다.
본 발명의 일 구체예는, 패턴 발생기를 사용하여 레지스트층에 잠상을 형성하는 단계; 상기 잠상에 상응하여 플라즈마 에칭 배리어를 형성하는 단계; 플라즈마 에칭 배리어를 통해 전사층을 방향성있게 에칭하는 단계; 및 전사층을 제공하고, 마스킹층의 에칭되지 않은 부분을 노광시키는 단계를 포함하여 패터닝된 레티클을 형성하는 방법을 포함한다. 본 구체예에 따르면, 레지스트층은 습식 현상될 수 있으며, 이것의 두께는 200nm 미만, 바람직하게는 150nm일 수 있다. 상기 전사층의 두께는 200 내지 500nm, 바람직하게는 350nm일 수 있다. 플라즈마 에칭 배리어는 레지스트층 내에 실리콘을 포함할 수 있으며, 이것은 잠상이 형성되기 전에 존재할 수 있거나, 잠상이 형성된 후에 첨가될 수 있다. 대안적으로, 플라즈마 에칭 배리어는 레지스트 및 전사층 사이에 바람직하게는 스퍼터링에 의해 증착된 분리막을 포함한다. 이러한 에칭 배리어막은, 알루미늄을 포함하는 금속 함유 막, 금속 산화물, 실리콘 또는 실리콘 산화물일 수 있다. 분리막을 포함하는 플라즈마 에칭 배리어는 레지스트층을 통한 플라즈마 에칭에 의해 패턴화될 수 있다. 본 구체예의 추가 일면은, 전사층이 잠상을 형성하는데 사용된 에너지 빔에 대해 필수적으로 비투과성일 수 있다는 것이다. 이러한 전사층은 제 1 플라즈마 화학물질을 사용하여 제거될 수 있다. 상기 제 1 플라즈마 화학물질은 할로겐 이온을 함유할 수 있으며, 산소 플라즈마를 함유할 수 있다. 전사층은 유기 재료일 수 있다. 전사 및 마스킹층의 방향성있는 에칭은 RIE 유형의 에칭으로 실시될 수 있으며, 전사층은 비선택적인 산소 플라즈마에 의해 제거될 수 있다.
본 발명의 추가 구체예는, 패턴 발생기를 사용하여 레지스트층을 노광시키는 단계; 레지스트층을 현상시키고 이것의 일부를 선택적으로 제거하는 단계; 레지스트층 바로 아래의 전사층을 방향성있게 에칭하는 단계; 전사층 바로 아래의 마스킹층을 방향성있게 에칭하는 단계; 및 전사층을 제거하고, 마스킹층의 둘러싸이지 않은 부분을 노광시키는 단계를 포함하여, 마스크 블랭크 상에 피쳐를 형성하는 것을 포함한다. 패턴 발생기에는 광자 에너지, 전자 빔 또는 입자 빔이 사용될 수 있다. 광자 에너지가 사용되는 경우, 전사층은 사용된 광자 에너지의 파장에 대해 필수적으로 비투과성일 수 있다. 파장과 형성되는 피쳐 사이즈 사이에 중요한 관계가 존재하기 때문에, 다양한 최소 피쳐 치수를 형성하는데 다양한 파장을 사용할 수 있다. 300 내지 380nm 파장의 에너지 빔을 75 내지 285nm의 최소 피쳐 치수를 형성하는데 사용할 수 있다. 200 내지 300nm 파장의 에너지 빔을 55 내지 225nm의 최소 피쳐 치수를 형성하는데 사용할 수 있다. 100 내지 220nm 파장의 에너지 빔 을 32 내지 124nm의 최소 피쳐 치수를 형성하는데 사용할 수 있다. 5 내지 13nm 파장의 에너지 빔을 6 내지 44nm의 최소 피쳐 치수를 형성하는데 사용할 수 있다. 전자빔을 사용하는 경우에, 2270nm의 최소 피쳐 치수를 형성시키도록 3000eV 미만의 에너지를 사용하는 것이 바람직하다. 사용된 에너지 빔의 유형에 따라 달라지긴 하나, 형성된 최소 피쳐 치수는 75 내지 285nm, 55 내지 225nm, 32 내지 124nm 또는 6 내지 44nm의 범위에 있을 수 있다. 본 구체예의 일면은, 레지스트 및 전사층 바로 아래의 피쳐를 관찰하여 레지스트 및 전사층이 특정의 비노광성 파장의 광에 대해 투과성이 있는 경우에, 패턴 발생기가 레티클과 정렬될 수 있다. 전사층이 또 다른 특정 파장의 광에 대해 레지스트층보다 더 흡수성이 있으면, 패턴 발생기가 레지스트와 전사층 사이의 계면 상에서 자동결상될 수 있다.
본 발명의 추가 일면에 따르면, 레지스트층을 노광시키는데 다단계 통과, 바람직하게는 4회로 통과시킬 수 있다. 확인된 상기 노광 통과는 필수적으로 반대 방향으로 실시될 수 있고, 노광과 최종 노광 통과가 완료되는 시점 사이의 평균 시간은 레티클을 가로질러 배분된 위치에 대해 필수적으로 동등하게 산출된다.
본 발명의 추가 일면은, 산소 및 실리콘 이산화물을 포함하는 플라즈마가 실리콘 함유 레지스트를 선택적으로 제거하는데 사용될 수 있다는 것이다. 레지스트는 현상시키기에 앞서서 실리콘으로 처리될 수 있다. 유용한 실리콘으로 처리되는 화합물에는 실란, 액체상 화합물 및 기체상 화합물이 포함된다. 실리콘은 현상시킨 후 및 레지스트를 제거하기 전에 처리될 수 있다. 레지스트 현상은 습식 또는 건식 현상으로 수행될 수 있다.
본 발명의 구체예 중 어느 하나는 선택적으로 제거된 레지스트를 수리하고 검사하는 단계를 포함함으로써 개선될 수 있다. 이와는 달리, 현상된 레지스트를 검사할 수 있으며, 피쳐가 임계 관용도와 매칭되도록 정확하게 확장시킬 수 있다.
임의의 구체예에 따른 전사층 또는 마스킹층의 방향성있는 에칭은 플라즈마 에칭 또는 반응성 이온 에칭에 의해 실시될 수 있다. 염소는 마스킹층을 제거하기 위한 에칭 가스로 사용될 수 있다. 전사층은 유기 재료, 바람직하게는 마스킹층을 편광시키도록 구성되며, DUV 흡수 염료로 염색된 것을 포함할 수 있다. 상기 마스킹층은 하나 이상의 물리층, 예를 들어 비화학량론적인 크롬 산화물로 구성된 반사방지층에 의해 덮혀진 크롬층을 포함할 수 있다.
본 발명의 또 다른 구체예는, 레티클 기판 상에 마스킹층을 형성하는 단계; 마스킹층 상에서 유기층을 회전시키는 단계; 유기층을 열처리시키는 단계; 유기층 위에서 포지티브형의 실리콘 함유 레지스트층을 회전시키는 단계; 및 레지스트층을 열처리시키는 단계를 포함하여, 패턴화시키기 위한 레티클 블랭크를 제조하는 방법에 관한 것이다. 본 구체예에 따르면, 마스킹층은 두께가 40 내지 90nm의 범위에 있는 크롬으로 구성될 수 있다. 대안적으로, 이것은 알루미늄 또는 텅스텐을 포함할 수 있다. 석영 레티클 기판 상에, 마스킹층이 패터닝된 구조를 포함할 수 있다. 레지스트층의 두께는 50 내지 200nm, 바람직하게는 150nm일 수 있다. 레지스트 및 전사층은 특정 파장의 광을 흡수하는 상이한 특성을 보유하고 있어서, 패턴 발생기가 이들 층 사이의 계면 상에서 결상될 수 있다.
본 발명 및 본 발명을 실시하는 구체예에 대한 하기 상세한 설명은 도면을 참조로 하여 이루어진다. 이것은 예시 및 설명을 목적으로 제시된 것이다. 본 발명을 기술된 세부 형태에 한정하려는 것은 아니며, 다수의 변형예 및 이에 필적하는 변형예가 있을 수 있다는 것이 당업자에게는 자명할 것이다.
도 1a 내지 1e는 코팅된 레티클 블랭크, 및 레티클 표면으로부터 비투과층을 제거하는 방법에 대한 공정 단계를 나타낸다. 도 1a에서, 코팅된 레티클은 레티클 블랭크(100), 마스킹층 또는 비투과층(102), 전사층(104) 및 레지스트층(106)을 포함한다. 선택적으로, 전사층(104)과 레지스트층(106) 사이에 플라즈마 저항층(105)을 포함할 수 있다. 레티클 블랭크(100)는 종종 석영 기판, 제로던TM(ZerodunTM) 세라믹 기판 또는 ULETM 유리 기판을 포함하기도 한다. 현재 사용되는 형태 중 하나는 152mm ×152nm ×6.25mm 두께이다. 임의 스타일의 마스크에서, 블랭크가 반도체 소자를 제조하는 동안에 사용된 에너지 빔에 대해 투과성이 있다. 웨이퍼 상의 레지스트가 노광되지 않도록 하는 영역에 에너지 빔이 통과하는 것을 방지하기 위해, 마스크가 블랭크 위에 위치한다. 블랭크의 마스킹되지 않은 부분에 의해 에너지 빔이 통과하며, 웨이퍼 레지스트 상에 패턴이 형성된다. 또 다른 스타일의 마스크에서, 마스크 블랭크 시스템의 일부가 반사되어, 레지스트를 노광시키는데 사용된 에너지를 흡수한다. 이러한 스타일의 마스크가 투영 리소그래피에 사용된다.
일부 에너지 공급원과 함께 사용하면, 위상 이동 마스크가 사용될 수 있다. 기판의 두께는, 레티클로부터 일부 재료를, 예를 들어 에칭시켜 제거하거나, 유전체와 같은 재료를 마스크의 선택된 부분에 첨가하는 것 중 어느 하나의 방법으로 변경될 수 있다. 두꺼운 마스크가 광을 더 천천히 투과시킨다. 광의 통과가 반파장에 의해 지연되는 경우, 파괴적인 간섭이, 광이 통과하는 인접 영역 사이에서 레티클을 통해 지연되거나 지연되지 않게 된다.
코팅된 레티클의 비투과성 마스킹층(102)은 일반적으로 두께가 대략 40 내지 90nm인 크롬 마스킹층을 포함한다. 상기 크롬 재료는 스퍼터링 증착으로 도포될 수 있다. 대안적으로, 알루미늄, 금, 텅스텐, 또는 실리콘을 비투과성 마스킹층을 형성하는데 사용할 수 있었다. 선택적으로, 비투과층에는 또한 반사방지층이 포함될 수 있다. 두께가 대략 30 마이크론인 비화학량론적인 크롬 산화물 재료가 반사율을 감소시키는데 사용될 수 있다. 이것은 마스크가 스텝퍼에 사용되는 경우의 성능을 강화시키나, 마스크를 패터닝하는데 필수적인 것은 아니다. 이것이 존재함으로써 정지파 및 벌크 간섭 효과가 감소될 수 있다. 그러나, 스텝퍼와 패턴 발생기 모두에 사용된 투영 결상 시스템에서는 효과적인 반사가 일부 일어나도록 해야 한다. 따라서, 비투과층의 반사방지 성분은 완벽하게 흡수될 수 없다. 대안적으로, 비투과층(102)은 에너지 빔을 반사, 확산 또는 흡수하는 레티클의 표면 내에서 그리고 표면 상에서 형성된, 소위 "크롬을 사용하지 않고 위상 이동되는" 마스크에 사용된 것과 같은 구조로 되어서, 비투과성 영역으로 유도된 에너지 빔이 레지스트 아래에 있는 영역 내에서 임계 노광 값(threshold exposure)을 형성시키지 않을 것이다. 정렬 마크 또는 피쳐는 비투과층 내에서 형성될 수 있는데, 이들은 마스크 제조 장치의 좌표계를 정렬시키는데 유용하다. 투영형 레티클에 있어서, 상이한 유형의 마스킹층이 에너지를 반사시키고 흡수하는 레티클 영역을 생성하도록 당업계에서 사용되고 있다.
비투과층 상에 (그러나 반드시 이 층 위에 직접적으로 위치시킬 필요는 없음), 전사층(104)이 도포된다. 이 층은 통상의 기술을 사용하여 회전되어, 두께가 대략 0.2 내지 0.5 마이크론인 층을 형성할 수 있다. 바람직하게는, DUV 흡수 염료를 포함하는, 바람직하게는 노광선을 흡수하는데 선택적이며 정렬 선에 대해 투명한 염료를 사용하는 유기 재료가 사용된다. 전사층에 사용된 재료는, 특히 회전되는 경우에 표면을 편광시키는 경향이 있다. 이것은 특히 비투과층이 이미 패터닝된 경우에 유용하다. 광자 선이 레지스트를 노광시키는데 사용되는 경우, 에너지를 흡수하는 염료를 사용하면 다수의 이점이 있다. 결상 시에, 이것은 표적으로 작용한다. 동시에, 선택적으로 DUV를 흡수하는 염료는 532nm에서 작동하는 정렬 광학 시스템이 전사층의 투명도를 활용할 수 있도록 하며, 겹쳐지는 레지스트층이 특히 층을 1차적으로 패터닝시킨 후에 비투과층에서 2개의 피쳐를 정렬시킬 수 있도록 한다. 상기 전사층은 비투과층으로부터 반사된 광의 양을 감소시켜, 정지파 효과를 최소화시킨다. 동시에, 이것은 전사층을 벗어나는 반사된 광의 양을 감소시켜, 벌크 효과를 최소화시킨다. 전사층은, 레지스트층 바닥에서 보다 정상부에서 광이 더 많이 흡수되는 것과 같은 단점을 유발시키지 않고, 이러한 이점이 얻어지도록 한다. 전사층을 도포한 후에, 150 내지 180℃에서 열처리시키면 용매가 제거되어, 플라즈마 에칭에 대한 전사층의 저항이 증가될 것이다.
선택적으로, 전사층 위에 (그러나, 반드시 이 층에 직접적으로 위치시킬 필요는 없음), 플라즈마 저항층(105)이 도포된다. 이 층은 회전될 수 있다. 이것은 바람직하게는 실리콘층인데, 이것은 특정 플라즈마에 노광되는 경우에 실리콘 이산화물, 특히 무기 실리콘층을 형성한다.
전사층 및 광학적으로 플라즈마에 저항이 있는 층 위에 (그러나, 이들 위에 직접적으로 위치할 필요는 없음), 레지스트층(106)이 도포된다. 이 층은 두께가 대략 0.5 내지 0.20 마이크론, 바람직하게는 두께가 대략 0.15 마이크론인 층을 형성하는 종래 기술을 사용하여 회전될 수 있다. 노광되지 않은 미세 라인을 남겨둔채로, 주변 영역을 노광시키는 것보다 미세 라인을 노광시키는 것이 더 용이하기 때문에, 포지티브형 레지스트를 사용하는 것이 바람직하다. 광자 에너지와 함께 사용된 레지스트를 포토레지스트라고 할 수 있다. 다른 유형의 레지스트가 기타 형태의 에너지와 함께 사용된다. 선택적으로, 실리콘 함유 레지스트가 추가로 하기한 바와 같이 플라즈마 에칭의 선택성을 향상시키는데 사용될 수 있다. 대략 7 내지 10%의 실리콘 함량이 바람직하다. 레지스트층을 도포시킨 후에, 90℃에서 열처리시키면 용매가 제거될 것이다. 전사 및 레지스트층에 대해 선택된 재료가 바람직하게는 양호한 저장 수명 및 안정성을 보유하고 있으므로, 마스크 블랭크의 제조업자가 블랭크를 예비코팅시킬 수 있다.
레지스트층(106)의 굴절률은 정지파 간섭 효과가 최소화되도록, 전사층(104)의 실제적인 굴절률에 근접하게 매칭되어야 한다. 전사층 위에 레지스트를 사용하는 이중층 시스템의 이러한 정지파 간섭 효과는 브러너 식(Brunner's Formula)을 사용하여 분석될 수 있다. 회전하는 진폭 S 에 대한 정상 및 바닥의 반사방지층의 작용은 브러너 식에 의해 양호한 접근도로 기술되는데, 이 때 이중층 시스템은 단일층으로서 처리된다:
Figure 112007073944233-pat00001
(상기 식에서,
Rb는 전사층과 마스킹층 사이에 있는 계면에서의 반사율이고,
Rt는 레지스트와 공기 사이에 있는 계면의 반사율인데, 이것은 반사방지용 탑 코팅에 의해 감소될 수 있으며,
α는 단위 두께 당 레지스트에 의한 노광선의 흡수율이며,
d는 흡수하는 레지스트층의 두께이다).
레지스트 및 전사층이 대략 동일한 굴절률을 갖는 경우에, 이들의 계면에서의 굴절률은 대략 0이다. 따라서, 브러너 식은 단일층인 레지스트 및 전사층에 적용될 수 있다. 합쳐진 단일층의 α* d의 값이 ≫ 1이면, 브러너 식에서의 지수 인자가 너무 작아지게 된다. 지수인자가 소멸됨에 따라, 합쳐진 레지스트 및 전사층에 대한 S 값도 매우 작아진다. α* d의 정확한 값은 유기 재료 또는 전사층의 염료 함량이 변화됨으로써 선택될 수 있다. 이중층 시스템은 단일 레지스트층보다 α* d의 값을 조정하는데 있어서 훨씬 더 큰 자유도를 부여할 수 있게 하는데, 여기에서 α* d < 1의 낮은 흡수값이 일반적으로 바람직하다. 단일층 레지스트에 대해서, 허용가능한 가장자리 슬로프를 제공하는데 낮은 흡수도가 일반적으로 바람직하므로, 현상 후의 트렌치 측면과 선택적으로 제거된 레지스트는 거의 수직이 될 것이다.
도 1a로부터 변화된 것이 도 2a에 도시되어 있다. 상기 구조는 투과성 기판(200), 비투과층(202), 전사층(204) 및 레지스트층(206)을 포함한다. 이 구조에서, 추가 층이 도포되기 전에 비투과층(202)의 일부가 선택적으로 제거된다. 이것은 편광에 적합한 이러한 구조로 된 전사층에 대해 특히 바람직한데, 그 이유는 비투과층이 패턴화되기 때문이다. 마스크 샵(mask shop)에서 패턴화가 실시됨에 따라, 도 2a에 도시된 구조는 마스크 샵에서 형성되기는 쉬우나 장기간 저장하기는 어렵다.
본 발명을 구체화하는 공정이 도 1a 내지 도 1d에 도시되어 있으며, 변형된 공정이 도 1e에 도시되어 있다. 도 1a에서, 레지스트층(106)이 에너지 빔(108)에 노광된다. 실시에 있어서, 이러한 선(radiation) 또는 에너지는 매우 다양한 유형 중 어느 하나일 수 있다. 광자 에너지가 UV, DUV, EUV 또는 X선 스펙트럼 범위 내에 존재할 수 있다. 예를 들어, 광자 에너지는 분광그래프에 의해 분리되거나, 고압 수은 증기 아크 광 또는 초고압 크세논 수은광으로부터 g선(대략 436nm), h선(대략 406nm), i선(대략 365nm) 또는 j선(대략 313nm)에서 컷 필터를 통해 처리될 수 있다. 광자 에너지는 또한 헬륨 카드뮴 공급원(대략 442 및 325nm), 고체 상태의 공급원(대략 430 및 266nm), 크립톤 이온 공급원(대략 413nm), 아르곤 이온 공급원(대략 364 및 257nm)에 의해 발생될 수 있다. 또한, 이것은 엑시머 공급원 또 는 크립톤-플루오라이드 또는 아르곤-플루오라이드 레이저(대략 308, 248, 193, 157 또는 126nm)에 의해 발생될 수 있다. 메사추세츠 인스티튜트 오브 테크놀로지의 나노스트럭쳐 라보레토리(The NanoStructures Laboratory of the Massachusetts Institute of Technology)는 위스콘신 유니버스티에서 교번자장기 광원(대략 13nm) 및 연구에 사용된 공급원으로서 헬륨으로 충전된 노광 챔버로부터 구리의 L선(대략 1.32nm)을 추가로 확인하였다. 크세논 가스 모세관 방전 흡수관에 의해 생성된 기타 파장에는 13.5nm 및 11.4nm가 포함된다. 전자 충격 공급원은 4.5nm의 선을 생성한다. 이러한 광자 에너지 공급원의 파장은 형성될 수 있는 최소 피쳐 치수에 대해서 중요하며, 파장이 짧을수록 사용하기가 더 어렵고 보다 작은 피쳐를 형성하는데 더 효과적이다.
다수의 광자 에너지 공급원에 대해 가능한 피쳐 사이즈가 하기 표에 표시되어 있다:
가장 넓을 경우 가장 좁을 경우
공급원
HeCd 442 497 249 221 111
고체 상태 430 484 242 215 108
Kr-이온 413 465 232 207 103
Ar-이온 364 410 205 182 91
HeCd 325 366 183 163 81
엑시머 308 347 173 154 77
고체 상태 X4 266 299 150 133 67
Ar-이온 X2 257 289 145 129 64
엑시머 248 279 140 124 62
엑시머 193 217 109 97 48
엑시머 157 177 88 79 39
엑시머 126 142 71 63 32
13 29 15 13 7
11 25 12 11 6
5 11 6 5 3
이 값은 k1 = 0.45, 0.20 및 NA = 0.20, 0.40, 0.80을 기초로 계산된다.
파장과 라인폭 사이의 핵심적인 관계가 도 5에 도시되어 있다. 이러한 관계는 하기 식으로서 표시된다:
MLW = k 1 ㆍ( λ /NA)
(상기 식에서,
MLW는 최소 라인폭이며,
k 1 은 경험 인자인데, 이것은 광 근접도가 정확하게 측정되는 경우 보다 바람직하며,
λ는 광자 공급원의 파장이며,
NA는 노광시키기 위한 개구수이다).
도 5에서의 관계는 3 내지 1 범위의 MLW를 나타내는데, k1 = 0.20이고 NA = 0.80인 경우에 라인이 가장 좁아진다. 라인이 가장 넓다는 것은 k1 및 NA값이 덜 바람직하다는 것을 나타낸다. 따라서, 413 내지 442nm의 공급원을 포함하는 380 내지 450nm의 파장에서, 임계 최소 피쳐 치수 또는 최소 라인폭은 대략 95 내지 340nm이다. 308 내지 364nm의 공급원을 포함하는 300 내지 380nm의 파장 범위에서, 임계 최소 피쳐 치수 또는 최소 라인폭은 75 내지 285nm이다. 248 내지 266nm의 공급원을 포함하는 220 내지 300nm의 파장 범위에서, 임계 최소 라인폭은 55 내 지 225nm이다. 126 내지 193nm의 공급원을 포함하는 100 내지 220nm의 파장 범위에서, 임계 최소 라인폭은 32 내지 124nm이다. 5 내지 13nm의 파장 범위에서, 최소 라인폭은 k1 = 0.45 및 NA = 0.40 값에 기초하며, 3 내지 1 범위의 최대 내지 최소 라인폭은 k1 및 NA값이 변화됨에 따라 얻어지는 값이다. 이러한 파장 공급원에 대한 임계 최소 라인폭은 6 내지 44nm이다. 이러한 방식으로, 임계 최소 피쳐 치수가 개별적인 공급원의 파장, 공급원 파장의 범위 또는 포함된 공급원 파장에 대해 매칭될 수 있다. 대안적으로, 구체적인 최소 임계 치수가 도 5의 데이터로부터의 각각의 파장 공급원에 대해 청구될 수 있다.
광자 에너지 이외에, 낮은 에너지를 갖는 전자빔 및 하전된 입자빔이 레지스트를 노광시키는데 적당하게 사용되어 왔다. 독일 도르트문트에 소재한 레이쓰 컴퍼니(Raith Company)로부터 생산된 레이쓰 턴키(Raith Turnkey) 150 시스템은 200 내지 30 KeV의 전자빔에 대해 측정된다. MIT의 나노스트럭쳐 라보레토리로부터 이것이 10eV 만큼 낮은 에너지 빔에서 조작될 수 있다는 사실이 보고되어 있다. 적당한 레지스트 상에 패턴을 기록하기 위한 이온선 공급원이 문헌에 기재되어 있다[참조: Westererg and Brodie, "Parallel Charged Particle Beam Exposure System", 미국 특허 제 4,465,934호]. 가장 일반적으로, 사용될 에너지 공급원을 노광시킬 레지스트의 특성에 매칭시킬 필요가 있다.
레지스트의 노광은 패턴 발생기를 사용하여 수행된다. 광자 에너지에 대해서는, 레이저 패턴 발생기 또는 간섭 리소그래피 시스템이 사용될 수 있다. 전자 에 대해서는, 전자 주사형 장치가 사용될 수 있다. 어플라이드 머티리얼스(Applied Materials)의 자회사인 에텍(Etec)으로부터 주사형 레이저 패턴 발생기의 ALTMTM 라인이 시판되고 있다. 스웨덴 타비에 소재한 마이크로닉 레이저 시스템즈(Micronic Laser Systems)로부터 주사형 레이저 시스템의 오메가TM 라인이 시판되고 있으며, 마이크로미러에 기초한 시스템의 시그마TM 라인이 설명되었다. 일부 측면에서 위스콘신 유니버시티와 공동 연구 중인 나노스트럭쳐 라보레토리로부터 공간 주기(spatial period)가 200nm, 100nm 및 50nm인 간섭 리소그래피 시스템이 설명되었다. 공간 주기가 100nm인 시스템이 직경이 13nm인 피쳐[재조립되는 실리콘 휘스커(whisker)]를 형성하는데 사용되었다. 또한, 나노스트럭쳐 라보레토리로부터 폭이 200nm인 라인을 형성시키기 위한 마이크로미러를 사용하는 존-플레이트 어레이 리소그래피 시스템(이것의 개선예는 폭이 20nm인 라인을 형성하는 것으로 예측됨)이 설명되었다. 또한, 어플라이드 머티리얼스의 자회사인 엠텍으로부터 가우스 선 패턴 발생기의 MEBUSTM 라인이 시판되고 있다.
최소한 DUV 에너지의 경우에, 주사된 패턴을 형성시키도록 4회 이상의 통과 횟수를 사용하는 것이 바람직하다. 패턴 형성은 평균 에너지 도즈 및 도우징(dosing)으로부터 완료까지 소요되는 평균 시간이 마스크 상의 상이한 지점에 대해서 대략 일정하도록 배열되어야 한다. 도우징시키기 위한 바람직한 방법은, 일부 통과에 대해 한 방향으로 기록하고, 그밖의 통과에 대해서는 필수적으로 반대 방향으로 기록하는 것이다. 이것은 통상적으로 일회 통과에 대해서는 제 1 방향으로, 후속적인 통과에 대해서는 필수적으로 반대되는 방향으로 기록된다. 이러한 방법은 레지스트층 내의 잠상이 감쇠되는 것을 제어하는데 도움을 준다. 레지스트가 현상되면, 이러한 기록 방법으로 노광에서 레티클을 통한 현상까지 소요되는 평균 시간이 대략 균일해진다.
도 1a에 도시된 바와 같이 노광하여 레지스트 내에 잠상을 형성시킨 후에, 레지스트 부분을 현상시키고 선택적으로 제거한다. 습식 현상시킨 후에 헹구어서 건조시키는 것이 바람직하다. 패터닝된 레지스트가 도 1b에 도시되어 있다. 일부 레지스트(106)가 남아있다. 그 밖의 위치(115)에서, 트렌치가 형성되면서 레지스트가 제거되었다. 트렌치(115)의 측면은 현상 및 선택적인 제거 공정의 등방 작용 및 레지스트 내에 광의 흡수 패턴 때문에 다소 경사져 있다.
임의적으로 레지스트를 선택적으로 제거한 후에, 패터닝된 레지스트층을 검사하고 수리한다. 몇몇의 경우에, 이 단계에서의 검사 및 수리가, 특히 이러한 공정 단계에 의해 도 2에 도시된 바와 같이 레티클 기판에서 위상 이동 창의 에칭이 야기되는 경우에, 이것이 더 이후 공정에서 실시되는 경우보다 더 효율적일 수 있다. 대안적으로, 검사 및 수리가 실시된 후에, 패터닝된 마스크 내에서 위상 이동 창 또는 비투과층 중 어느 하나를 계속적으로 에칭시키면서 전사층을 에칭시킬 수 있었다.
최소 피쳐 치수를 정확하게 보정하는 것은, 목적하는 것보다 10nm 더 좁은 정도로 지나치게 작은 레지스트 내의 개구를 기록하고 현상시킴으로써 수행될 수 있다. 검사 도구는 기록된 라인폭을 매우 정확하게 측정하는데 사용될 수 있다. 예를 들어 가스, 습식 에칭 또는 플라즈마에 의해 약간 등방성이 있게 에칭하는 것은, 패턴을 전사시키기 전에 5 내지 15nm까지 라인을 확장시키면서 레지스트층 내의 개구 크기를 조절하는데 사용될 수 있다. 이러한 형태로 보정하면 최소 피쳐 치수의 균일성이 증가된다. 또한, 이것에 의해 레지스트 내에서 패턴이 정리된다.
공정 변형예가 도 1e에 도시되어 있는데, 이것은 레지스트를 실릴화시키는 것에 관한 것이다. 몇몇의 예에서, 레지스트에 실란과 같은 실리콘 함유 화합물을 채우는 것이 바람직할 것이다. 액체상 또는 기체상의 실리콘 함유 화합물(114)이 레지스트 위에 도포된다. 이것은 도 1e에 도시된 바와 같이 현상 및 선택적인 제거가 실시된 후 또는 현상시키기 전 중 어느 하나의 시점에서 수행될 수 있으며, 또한 잠상을 실릴화시킨 후에 레지스트를 건식 현상시킬 수도 있다. 개별적으로 도시되지는 않았으나, 전사층의 정상부에 실란 함유물을 포함시킬 수도 있다.
플라즈마 저항층(105)이 존재하는 경우에, 레지스트 내의 잠상에 상응하여 플라즈마 저항층을 형성하는 논리적인 단계가 하나 이상의 공정 단계에 관련될 수 있다. 개별적인 공정 단계가 레지스트를 현상시키고 선택적으로 제거한 후에, 플라즈마 저항층의 상응하는 영역을 제거하는데 사용될 수 있다. 이러한 단계에 의해 레지스트층 내의 최소 피쳐 치수가 보정될 수 있다.
도 1b를 참조하면, 패터닝된 레지스트층이 노광되어 방향성있게 에칭된다. 완전 수직으로 공급되는 선택적인 에칭 가스(110)를 사용하여, 그 아래에 있는 전사층도 방향성있게 에칭된다. 방향성있게 에칭시키기 위한 기술에는 반응성 이온 에칭(RIE) 및 플라즈마 에칭이 포함된다. 플라즈마 에칭은 일반적으로 O2, CF4 등과 같은 저압 공정 가스를 사용하여 가스 방전관 내 또는 이것에 인접하여 실시된다. 다양한 형태의 플라즈마 에칭이 수직의 비등방성 패턴 전사 에칭에 대해 또는 거의 유사한 등방성 에칭에 대해 사용될 수 있다. 적당하게 처리된 가스 및 플라즈마 조건이 실리콘, 실리콘 이산화물, 알루미늄, 크로뮴, 레지스트 및 폴리아미드와 같은 마이크로리소그래피에 사용된 박막 재료를 에칭시키기 위해 현상되었다. 적당한 공정 가스 및 플라즈마 조건에 대해서는 하기 문헌을 참조한다[참조: Handbook of Plasma Processing Technology, Noyse Publications, 1990, ISBN 0-8155-1220-1]. 반응성 이온 에칭은 양호하게 라인폭이 제어되는 수직적으로 비등방성인 에칭에 대해 적합하다. RIE 장치에 대한 가장 간략한 구성은 평행판 에칭 장치로서, 이 장치에서 워크피스가 RF로 구동되는, 전극 일반적으로 13.56 MHz의 주파수에서 구동되는 전극 상에 위치한다. 플라즈마 내에서의 방전에 의해 표면쪽으로 이온을 가속시키는 DC 바이어스(bias)가 형성된다. 10 내지 50 밀리토르의 플라즈마 압력이 종종 사용된다. 유도결합된 플라즈마 반응기와 같은 그밖의 반응기 유형이 사용될 수도 있다.
전사층을 통해 에칭하는데 적합한 플라즈마에는 산소 및 소량의 이산화황이 포함될 수 있다. 레지스트(106)에 포함되는 실리콘은, 레지스트의 부식률을 감소시키면서, 패터닝된 레지스트를 산소 플라즈마로부터 보호하는 실리콘 이산화물 에칭 배리어를 형성한다. 도 1b에 도시된 공정의 결과는 도 1c에 도시된 구조이다. 에칭 가스에 노출시킨 후에, 수직 또는 거의 수직의 트렌치(117)가 전사층(104)을 통해 절단된다. 일부의 레지스트(106) 및 유기 재료(104)는 그대로 남아있다.
패터닝된 레지스트(106) 및 전사층(104)이 부가적이며 방향성있는 에칭 가스(112)로 처리된다. 비투과층을 통해 에칭시키기 위해, 조금씩 상이한 가스 혼합물이 사용된다. 적당한 플라즈마는 염소와 같은 할로겐을 함유할 수 있다. 플라즈마의 조성 및 에너지가 노광시킨 트렌치(117)에서 투과층을 제거하도록 선택되어야 한다. 또한, 이러한 플라즈마 단계에서 실리콘 함유 레지스트(106)를 제거하는 것이 바람직할 수 있다. 이러한 방향성있는 에칭 단계가, 레지스트층(106)으로부터 전사층(104)으로 패턴을 전사시키는데 사용되는 것과 같은 동일한 장치 내에서 수행될 수 있다. 동일한 RIE 장치를 사용하면, 플라즈마 에칭 장치 또는 그밖의 장치가 비투과층을 패터닝하는데 필요한 웨이퍼 전사 횟수를 감소시킬 것이다. 이러한 공정의 결과가 도 1d에 도시된 구조로서 나타난다. 비투과층(102)이 에칭되어, 트렌치(119)가 형성된다.
트렌치(119)의 폭은 이러한 공정으로 형성된 최소 피쳐 사이즈가 될 것이다. 최소 피쳐 사이즈는 광자 에너지가 사용되는 경우에 레지스트를 노광시키는데 사용된 에너지의 파장과 관련되어 있다. 파장이 짧을수록 많은 문제가 나타나는데, 이것은 실제적으로 매우 소형의 피쳐 사이즈를 형성시키기 위한 이들의 용도를 제한한다. 예를 들어, EUV 에너지는 통상의 렌즈를 사용하여 용이하게 결상되지 않는다. 이것은 유리에 흡수되어, 다수의 통상의 거울 재료를 통과한다. 실제적인 트레이드-오프가 존재함에도 불구하고, 파장이 5 내지 13nm인 EUV 에너지가 6 내지 44nm의 최소 치수를 갖는 피쳐를 형성하는데 가장 쉽게 사용될 것이다. DUV 에너지는 엑시머, 파장이 대략 100 내지 220nm인 기체 상태 또는 고체 상태의 공급원에 의해 형성되는 것으로 예측되며, 이것은 최소 치수가 32 내지 124nm인 피쳐를 형성하는데 가장 쉽게 사용될 것이다. 파장이 220 내지 300nm인 DUV 에너지가 최소 치수가 55 내지 225nm인 피쳐를 형성하는데 가장 쉽게 사용될 것이다. UV 에너지는 고압 수은 증기 아크 광 또는 초고압 크세논-수은 광을 방출하는 컷 필터를 통해 분광그래프 상에서 분리되거나 처리됨으로써 발생될 수 있다. 이러한 방법으로 대략 365nm의 i선 에너지 또는 대략 313nm의 j선 에너지가 형성된다. 대안적으로, 헬륨-카드뮴 레이저가 대략 325nm의 에너지 빔을 형성하는데 사용될 수 있다. 파장이 300 내지 380nm인 인접한 UV 에너지가 최소 치수가 75 내지 285nm인 피쳐를 형성하는데 가장 쉽게 사용될 것이다. 그밖의 에너지 빔은 고압 수은 증기 아크 광 또는 초고압 크세논-수은 광이 방출되는 컷 필터를 통해 분광그래프 상에서 분리되거나 처리됨으로써 발생될 수 있다. 이러한 방법으로 대략 436nm의 g선 에너지 또는 대략 406nm의 h선 에너지가 발생된다. 파장이 380 내지 450nm인 그밖의 에너지가 최소 치수가 95 내지 340nm인 피쳐를 형성하는데 가장 쉽게 사용될 것이다. 본 발명의 실시에서 낮은 에너지를 갖는 전자빔을 사용하면, 10 내지 100nm의 최소 피쳐 크기가 형성된다는 효과가 있다. 하전된 입자빔에 의해 5 내지 50nm의 최소 피쳐 치수가 형성될 수 있다. 설명된 바와 같이, 트렌치(119)의 폭은 레지스트를 노광시키는데 사용된 에너지 빔의 유형에 따라서 임계적으로 달라진다. 본 발명은 임계 치수 제어성을 개선시킬 뿐만 아니라, 에너지 빔 유형과는 상관없이 미세 라인을 형성할 수 있는 능력을 개선시킨다.
패턴을 전사시키는데 사용된 동일한 플라즈마 반응기가 또한 전사 및 레지스트층의 나머지 부분을 제거하도록 애싱(ashing)시키는데 사용될 수 있다. 패턴 전사에 있어서, 플라즈마 반응기는 가능한한 수직적으로 비등방성인 채로 에칭시키기 위한 플라즈마 스트림을 생성해야 한다. 반응성 이온 에칭 또는 이에 동등한 공정이 이를 위해 수행될 것이다. 플라즈마는 저압이다. 워크피스에 대해 고전위가 형성된다. 평판 반응기가 RF 에너지를 사용하는 워크피스에 대해 고전위를 형성하는데 사용될 수 있다. 이러한 효과는 스퍼터링과 다소 유사하다. 전사층을 제거하기 위한 애싱에 있어서, 플라즈마의 수직 정렬이 중요하지는 않다고 하더라도 등방 공정이 바람직하다. 200밀리토르를 초과하는 상대적으로 고압의 플라즈마가 사용된다. 워크피스는 낮은 전위를 갖는다. 플라즈마는 표면으로 확산되고 전사층을 화학적으로 에칭시켜 이것을 제거하는 반응종을 생성한다. 평판 반응기가 분쇄된 워크피스와 함께 사용될 수 있다. 대안적으로, 플라즈마의 마이크로웨이브가 여기되는 바렐 반응기가 사용될 수 있다. 애싱에 있어서, 소량의 이산화황이 함유된 산소가 유기 잔류물을 제거하는데 적합하다. 평판 반응기에서, 이중의 매칭 네트워크를 구비한 이중의 RF 드라이브가 특히 유용할 수 있다. 이중형 드라이브에서는, 음극 및 워크피스 테이블이 독립적으로 구동된다. 단결정 진동자에 의해 상기 2가지 모두의 드라이브에 대해 RF 주파수가 형성될 수 있다. 2개 드라이브의 상 및 전력을 독립적으로 제어함으로써, 워크피스 전위는 광범위한 한계 범위 내에서 제어될 수 있다. 평판 반응기 이외의 대안 장치로, 문헌[참조: L. Hollins et al., Journal of Scientific Instruments, Vol. 1, p.32(1968)]에 기재된 분할된 음극 디자인이 있다.
도 1에 기재된 공정은, 또한 도 2에 도시된 바와 같은 레티클의 위상 이동 창을 에칭하는데 적용된다. 도 2a에는, 에너지 빔을 사용하여 레지스트층을 노광시키기 전의 초기 구조가 도시되어 있다. 코팅된 레티클은 블랭크 레티클(200), 하나 이상의 비투과층(202), 전사층(204) 및 레지스트층(206)을 포함한다. 블랭크 레티클(200)은 상기한 바와 같이 일반적으로 석영 기판이다. 상기 구조의 비투과층(202)은, 예를 들어 상기 공정을 사용함으로써 패턴화되었다. 코팅된 레티클의 비투과층은 일반적으로 두께가 대략 40 내지 90nm인 패터닝된 크롬층을 포함한다. 대안적으로, 알루미늄, 금, 텅스텐 또는 실리콘을 비투과성의 마스킹층을 형성하는데 사용할 수 있다. 선택적으로, 상기 비투과층은 또한 반사방지층을 포함할 수 있다. 두께가 대략 30 마이크론인 비화학량론적인 크롬 산화물 재료가 반사율을 감소시키는데 사용될 수 있다. 또한, 이것에 의해 마스크가 스텝퍼 내에 사용될 경우의 성능은 증가되나, 마스크 내에서 위상 이동 창을 형성하는데 필수적인 것은 아니다. 대안적으로, 비투과층(202)은 에너지 빔을 반사시키거나 확산시키는 레티클 표면에서 또는 표면 상에서 형성된 구조일 수 있으므로, 비투과 영역 상에 투영된 에너지 빔이 상기 영역 아래에 위치하는 레지스트 내에 임계 노광값을 형성시키지는 않는다. 비투과층 내에 형성된 패턴은 마스크 제조 장치의 좌표계를 정렬시키는데 유용하다.
비투과층 위에 (반드시 이 층 위에 직접적으로 위치시킬 필요는 없음), 전사 층(204)이 도포된다. 이것은 상대적으로 두꺼운 층으로서, 바람직하게는 유기 재료로 되어 있다. 적당한 재료는, 대부분의 포지티브형의 비증폭된 포토레지스트에 사용되는 수지 성분인 노볼락(Novolac)이다. 이것은 우수한 접착력 및 양호한 플라즈마 에칭 저항을 보유하며, 가시 광선 및 UV에서 투명하고 DUV에서 흡수된다. 이 층 상에서 회전시키기 위한 통상의 기술이, 두께가 대략 0.2 내지 0.5 마이크론, 바람직하게는 대략 0.35 마이크론인 층을 형성하는데 사용될 수 있다. 유기 재료가 필수적으로 흡수성이 없고 광 파장으로 사용되는 경우에, 흡수성 염료, 바람직하게는 노광선을 선택적으로 흡수하며 정렬선에 대해서 상대적으로 투명한 염료를 포함할 수 있다. 전사층 재료가 특히 회전되는 경우에 표면을 편광시키는 경향이 있는 것이 특히 유용하다. 염료의 상이한 흡수성으로 인해, 정렬용 에너지 빔을 피쳐 사이즈와 절충시키지 않으면서 노광 및 정렬을 목적으로 상이한 에너지 빔이 사용될 수 있다. 노광선의 흡수성에 의해 정지파 및 벌크 간섭효과와 같은 간섭 효과가 최소화된다.
선택적으로, 전사층 위에 (그러나, 반드시 이 층 위에 위치시킬 필요는 없음), 플라즈마 저항층(205)이 도포된다. 이 층은 스퍼터링될 수 있다. 바람직하게는, 이 층은 특정 플라즈마에 노광시키는 경우에 실리콘이산화물을 형성하는 실리콘층, 특히 무기성 실리콘층이다.
전사층 및 선택적인 플라즈마 저항층 위에 (그러나, 반드시 이들 층 위에 위치시킬 필요는 없음), 레지스트층(206)이 도포된다. 이 층 위에서 회전시키기 위한 종래 기술은 두께가 대략 0.05 내지 0.20 마이크론, 바람직하게는 0.15 마이크 론인 층을 형성하는데 사용될 수 있다. 선택적으로, 실리콘 함유 레지스트가 플라즈마 에칭의 선택성을 개선시키는데 사용될 수 있다. 이러한 레지스트는 이것에 열처리를 실시하기 전인 초기에는 실리콘을 함유할 수 있거나, 실릴화 공정이 레지스트 내에 실리콘을 주입시키도록 사용될 수 있다. 도 2a에 도시된 구조가 패터닝된 구조이기 때문에, 비투과층을 패턴화시킨 후에 전사 및 레지스트층이 마스크 제조 샵에서 도포될 것이다. 전사 및 레지스트층의 양호한 작업 수명이 양호한 저장 수명보다 더 중요하다.
도 1에 도시된 것과 동일하게 진행되는 본 발명을 구체화하는 공정은 도 2a 내지 도 2d에 도시되어 있으며, 도 2e에는 공정의 변형예가 도시되어 있다. 이러한 도면들에는 532nm의 광자 에너지 빔 공급원으로서 사용되며, 비투과층의 패턴을 확인하도록 이 파장에서 레지스트 및 전사층의 투명도를 활용하는 정렬 광학 시스템의 용도가 도시되어 있지 않다. 패터닝된 레티클을 사용하여 패턴 발생기의 좌표계를 정렬시키고 나서, 도 2a의 레지스트층(206)이 에너지 빔에 노광된다. 이것은 광자 에너지 빔, 저에너지의 전자빔, 하전된 입자빔 또는 사용된 구체적인 레지스트를 노광시키는데 적합한 그밖의 에너지 빔일 수 있다. 에너지 빔은 패턴 발생기를 사용하여 레지스트를 노광시킨다. 패턴 형성 계획은, 평균 에너지 도우즈 및 도우징에서부터 완료까지 소요되는 평균 시간이 마스크를 가로질러 상대적으로 일정하도록 수회 통과시켜야 한다. 이것은 레지스트층 내에서 잠상의 감쇠를 제어한다.
레지스트를 현상시키고 선택적으로 제거시킨 후에 도 2a에 도시된 바와 같이 노광시킨다. 패터닝된 레지스트가 도 2b에 도시되어 있다. 트렌치(215)가 비투과층이 에칭되어 제거된 영역의 일부 또는 전부 상에 위치한다. 실시에 있어서, 비투과층의 에칭 영역이 트렌치(215)보다 더 넓을 수 있으며, 여기에서 위상 이동 창이 비투과층 내의 비이동 창과 인접해 있기 때문에 이것이 필요하다.
레지스트를 선택적으로 제거한 후에, 트렌치(215)를 임의로 검사하고 수리한다. 일부 경우에, 이 단계에서의 수리 및 검사가 이후에 이것을 실시하는 것보다 더 효율적일 수 있다. 패터닝된 레지스트의 수리가, 석영과 같은 기판 내로 에칭된 위상 이동 창의 형상을 변형시키는 것보다 더 용이하게 실시될 것이다. 플라즈마(210)를 사용하여 패터닝된 레지스트(206)를 방향성있게 에칭시켜서 생성된 구조가 도 2c에 도시되어 있다.
공정의 변형예가 도 2e에 도시되어 있는데, 이것은 노광 후에 레지스트를 실릴화시키는 것에 관한 것이다. 일부 예에서, 패턴화시킨 후에 실란과 같은 실리콘 화합물로 레지스트를 채우는 것이 바람직할 것이다. 액체상 또는 기체상의 실리콘 함유 화합물(214)이 레지스트 위에 도포된다. 이것은 도 2e에 도시된 바와 같은 현상 및 선택적인 제거 중 어느 하나를 실시한 후에, 또는 레지스트를 현상시키기 전에 실시될 수 있다. 현상시키기 전에 실릴화가 수행되는 경우에 레지스트를 건식 현상시킬 수도 있다.
도 2c는 레티클 기판(200)을 노광시키는 전사층(204)을 통한 트렌치(217)를 도시하고 있다. 부가적인 플라즈마(212)가 도 2d에 도시된 바와 같이 기판(200) 내에서 위상 이동 창을 방향성있게 에칭하는데 사용된다.
이미 형성된 180°위상 이동 창 이외에, 균일성을 개선시키기 위해, 예를 들어 부가적인 180°위상 이동과 같은 부가적인 위상 이동이 패터닝된 마스크에 추가될 수 있다. 도 3a는 180°위상 이동 창이 이미 에칭된 구조를 도시하고 있다. 기판(300)은 하나 이상의 비투과층(302)으로 덮혀진다. 180°위상 이동 창은 기판(321)의 일부에서 에칭되었다. 레티클(323)의 일부 영역에서, 비투과층은 제거되었으나, 위상 이동 창은 에칭되지 않았다.
도 3b에 도시된 방향성있는 에칭, 또는 도 3c에 도시된 등방성 에칭이 부가적인 위상 이동 에칭을 수행할 수 있다. 플라즈마(310)는 기판 부분을 제거하면서 비투과층을 그대로 남겨두는데 적합한 플라즈마를 선택하여 방향성있게 에칭하는데 사용할 수 있다. 대안적으로, 상대적으로 방향성없는 플라즈마(316) 또는 습식 에칭(316)이 사용될 수 있다. 생성되는 구조가 도 3d에 도시되어 있다. 위상 이동 창(325)은 위상 이동되지 않는 투과 창(327)보다 기판 내로 더 깊이 에칭되는 것으로 보인다.
공정의 기본 단계가 하기 리스트에 표시되어 있다:
1. 이중층 코팅을 구비한 레티클로부터 출발함.
2. 정상부, 레지스트층을 패턴 발생기를 사용하여 전자빔에 노광시켜, 잠상을 형성함.
3. 상기 잠상에 상응하여 플라즈마 에칭 배리어를 형성함.
4. 플라즈마 에칭 배리어를 통해 전사층을 방향성있게 에칭시킴.
5. 전사층을 제거하고, 레티클 기판을 노광시킴.
노광시킬 레티클 블랭크를 제조하는 방법이 도 4a 내지 도 4c에 도시되어 있다. 이 방법으로 (필수적인 것은 아니나) 레티클 기판 상에 마스킹층(402)이 형성된다. 전사층(404)은 (필수적인 것은 아니나) 마스킹층(402) 상에 형성된다. 선택적인 플라즈마 저항층(405)은 (필수적인 것은 아니나) 전사층(404) 상에 형성될 수 있다. 저항층(406)은 (필수적인 것은 아니나) 전사 및 선택적인 플라즈마 저항층(404, 405) 상에 형성될 수 있다.
본 발명을 실시하는 방법 및 장치는 다양한 이점을 제공한다. 상기 방법은 레지스트 및 전사층, 특히 전사층의 두께에 상대적으로 둔감하다. 고해상도를 갖는 미세 피쳐가 용이하게 형성된다. 밝은 공간이 언더커팅되고 확장되는 것이 방지되는 동시에, 균일하게 교차되는 라인 및 공간이 용이하게 형성된다. 트렌치 측벽은 거의 수직이다. 후노광 열처리시킬 필요가 없다. 후노광 열처리시키지 않고, 이미지 확산이 최소화된다. 비투과층의 초기 패턴화에, 예비코팅된 블랭크가 사용될 수 있다. 본 발명의 시스템은 상대적으로 시간 지연에 둔감하기 때문에, 작업흐름이 간편화된다. 얇고 투명한 레지스트층을, 굴절률이 거의 동일하고 흡수성이 높은 상대적으로 두꺼운 기저층 또는 전사층의 정상부에 사용하면, 간섭 효과, 즉 정지파 및 벌크 간섭 효과 모두가 최소화된다. 동일한 플라즈마 반응기가, 장치의 구성 부품 중에서 레티클의 전사를 최소화시키도록 다수의 공정 단계에 사용될 수 있다. 이것은 비용 지출, 플로어 공간 요구조건, 핸들링 및 총처리 시간을 최소화한다. 레지스트층을 검사하고 수리함으로써, 완성된 마스크 패턴이 필요한 요구조건들과 매칭되는 임계 치수를 갖게 되는 경향이 있다.
본 발명을 상기 바람직한 구체예 및 실시예를 참조로 기술하였으나, 이러한 실시예는 본 발명을 제한하려는 것보다 예시하는 것으로 이해된다. 당업자는 본 발명을 용이하게 변형시키고 조합시킬 수 있을 것으로 이해되며, 이러한 변형 및 조합은 본 발명의 사상 및 첨부되는 청구범위의 범주 내에 있을 것이다.
도 1a 내지 1e는 이중층의 레티클 블랭크 구조, 및 레지스트 및 전사 매질로 된 이중층을 사용하여 패터닝된 레티클을 제조하는 방법을 나타낸다.
도 2a 내지 2e는 이중층의 레티클 블랭크 구조와 방법을 나타낸다. 이 방법은 위상 이동 마스크를 제조하기 위한 것이다.
도 3은 위상 이동 마스크의 오버에칭 영역을 형성하는 공정을 나타낸다.
도 4는 레지스트 및 전사 매질로 된 이중층을 구비한 레티클에 대한 블럭 다이어그램을 나타낸다.
도 5는 광자 에너지 빔의 파장 변화에 대한 최소 라인폭 범위를 나타내는 그래프이다.

Claims (30)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 패턴 발생기를 사용하여 레티클을 노광시키는 방법으로서,
    다수의 노광 통과들로 상기 레티클 위에서 레지스트층을 노광시키는 단계를 포함하며, 상기 노광 통과들은 제 1 방향 및 제 2 방향에서 이루어지며, 상기 제 1 방향과 상기 제 2 방향은 본질적으로 반대인,
    레티클 노광 방법.
  10. 제 9 항에 있어서,
    상기 다수의 노광 통과들은 4회 이상의 노광 통과들을 포함하는 것을 특징으로 하는 레티클 노광 방법.
  11. 제 9 항에 있어서,
    연속적인 통과들이 반대 방향들로 기록되는(written) 것을 특징으로 하는 레티클 노광 방법.
  12. 제 10 항에 있어서,
    연속적인 통과들이 반대 방향들로 기록되는 것을 특징으로 하는 레티클 노광 방법.
  13. 제 10 항에 있어서,
    상기 노광 통과들은 광자 에너지 빔을 이용하는 것을 특징으로 하는 레티클 노광 방법.
  14. 제 10 항에 있어서,
    상기 패턴 발생기는 전자 빔을 이용하는 것을 특징으로 하는 레티클 노광 방법.
  15. 제 10 항에 있어서,
    상기 패턴 발생기는 가우스 에너지 빔(Gaussian energy beam)을 이용하는 것을 특징으로 하는 레티클 노광 방법.
  16. 제 10 항에 있어서,
    상기 패턴 발생기는 입자 빔을 이용하는 것을 특징으로 하는 레티클 노광 방법.
  17. 패턴 발생기를 사용하여 레티클을 노광시키는 방법으로서,
    다수의 노광 통과들로 상기 레티클 위에서 레지스트층을 노광시키는 단계를 포함하고, 상기 노광 통과들은 노광으로부터 모든 노광 통과들의 완료까지 평균 시간을 가지며, 상기 평균 시간은 상기 레티클에 대해 분배된 위치들에 대해 본질적으로 동일한,
    레티클 노광 방법.
  18. 제 17 항에 있어서,
    상기 다수의 노광 통과들은 4회 이상의 노광 통과들을 포함하는 것을 특징으로 하는 레티클 노광 방법.
  19. 제 17 항에 있어서,
    연속적인 통과들이 본질적으로 반대 방향들로 기록되는 것을 특징으로 하는 레티클 노광 방법.
  20. 제 18 항에 있어서,
    연속적인 통과들이 반대 방향들로 기록되는 것을 특징으로 하는 레티클 노광 방법.
  21. 제 18 항에 있어서,
    상기 패턴 발생기는 광자 에너지 빔을 이용하는 것을 특징으로 하는 레티클 노광 방법.
  22. 제 18 항에 있어서,
    상기 패턴 발생기는 전자 빔을 이용하는 것을 특징으로 하는 레티클 노광 방법.
  23. 제 18 항에 있어서,
    상기 패턴 발생기는 가우스 에너지 빔을 이용하는 것을 특징으로 하는 레티클 노광 방법.
  24. 제 18 항에 있어서,
    상기 패턴 발생기는 입자 빔을 이용하는 것을 특징으로 하는 레티클 노광 방법.
  25. 레지스트층을 노광시키는 방법으로서,
    다수의 노광 통과들로 상기 레지스트층을 노광시키는 단계를 포함하고, 상기 노광 통과들은 제 1 방향 및 제 2 방향에서 이루어지며, 상기 제 1 방향 및 상기 제 2 방향은 본질적으로 반대인,
    레지스트층 노광 방법.
  26. 제 25 항에 있어서,
    상기 다수의 노광 통과들은 4회 이상의 노광 통과들을 포함하는 것을 특징으로 하는 레지스트층 노광 방법.
  27. 레지스트층을 노광시키는 방법으로서,
    다수의 노광 통과들로 상기 레지스트층을 노광시키는 단계를 포함하고, 상기 노광 통과들은 노광으로부터 모든 노광 통과들의 완료까지 평균 시간을 가지며, 상기 평균 시간은 상기 레지스트층에 대해 분배된 위치들에 대해 본질적으로 동일한,
    레지스트층 노광 방법.
  28. 제 27 항에 있어서,
    상기 다수의 노광 통과들은 4회 이상의 노광 통과들을 포함하는 것을 특징으로 하는 레지스트층 노광 방법.
  29. 제 27 항에 있어서,
    연속적인 통과들이 본질적으로 반대 방향들로 기록되는 것을 특징으로 하는 레지스트층 노광 방법.
  30. 제 28 항에 있어서,
    연속적인 통과들이 반대 방향들로 기록되는 것을 특징으로 하는 레지스트층 노광 방법.
KR1020077023709A 2000-09-18 2001-09-06 이중층 레티클 블랭크 및 이것의 제조방법 KR100890665B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/664,288 2000-09-18
US09/664,288 US6645677B1 (en) 2000-09-18 2000-09-18 Dual layer reticle blank and manufacturing process
PCT/SE2001/001893 WO2002023272A1 (en) 2000-09-18 2001-09-06 Dual layer reticle blank and manufacturing process

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020037003950A Division KR100851092B1 (ko) 2000-09-18 2001-09-06 이중층 레티클 블랭크 및 이것의 제조방법

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020087022264A Division KR20080095278A (ko) 2000-09-18 2001-09-06 이중층 레티클 블랭크 및 이것의 제조방법

Publications (2)

Publication Number Publication Date
KR20070107810A KR20070107810A (ko) 2007-11-07
KR100890665B1 true KR100890665B1 (ko) 2009-03-26

Family

ID=24665394

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020037003950A KR100851092B1 (ko) 2000-09-18 2001-09-06 이중층 레티클 블랭크 및 이것의 제조방법
KR1020087022264A KR20080095278A (ko) 2000-09-18 2001-09-06 이중층 레티클 블랭크 및 이것의 제조방법
KR1020077023709A KR100890665B1 (ko) 2000-09-18 2001-09-06 이중층 레티클 블랭크 및 이것의 제조방법

Family Applications Before (2)

Application Number Title Priority Date Filing Date
KR1020037003950A KR100851092B1 (ko) 2000-09-18 2001-09-06 이중층 레티클 블랭크 및 이것의 제조방법
KR1020087022264A KR20080095278A (ko) 2000-09-18 2001-09-06 이중층 레티클 블랭크 및 이것의 제조방법

Country Status (7)

Country Link
US (5) US6645677B1 (ko)
JP (1) JP2004518990A (ko)
KR (3) KR100851092B1 (ko)
CN (1) CN1210618C (ko)
AU (1) AU2001282838A1 (ko)
DE (1) DE10196638T1 (ko)
WO (1) WO2002023272A1 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7115523B2 (en) * 2000-05-22 2006-10-03 Applied Materials, Inc. Method and apparatus for etching photomasks
US6645677B1 (en) * 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process
US20020187434A1 (en) * 2001-05-25 2002-12-12 Blatchford James W. Process for device fabrication in which the size of lithographically produced features is subsequently reduced
US20030233630A1 (en) * 2001-12-14 2003-12-18 Torbjorn Sandstrom Methods and systems for process control of corner feature embellishment
SE0104238D0 (sv) * 2001-12-14 2001-12-14 Micronic Laser Systems Ab Method and apparatus for patterning a workpiece
EP1336671A1 (en) * 2002-02-15 2003-08-20 Paul Scherrer Institut Method for generating an artificially patterned substrate for stimulating the crystallization of a biomolecule thereon and method of stimulating the crystallization of biomolecules
SE0201019D0 (sv) * 2002-04-04 2002-04-04 Micronic Laser Systems Ab A mask blank and a method for producing the same
US6875624B2 (en) * 2002-05-08 2005-04-05 Taiwan Semiconductor Manufacturing Co. Ltd. Combined E-beam and optical exposure semiconductor lithography
US6884727B2 (en) * 2002-08-21 2005-04-26 Freescale Semiconductor, Inc. Semiconductor fabrication process for modifying the profiles of patterned features
US6902871B2 (en) * 2002-10-03 2005-06-07 Lumera Corporation Method for manufacturing polymer microstructures and polymer waveguides
US6858542B2 (en) * 2003-01-17 2005-02-22 Freescale Semiconductor, Inc. Semiconductor fabrication method for making small features
JP2004245905A (ja) * 2003-02-10 2004-09-02 Tadahiro Omi マスク作成装置
JP3788800B2 (ja) * 2003-12-26 2006-06-21 セイコーエプソン株式会社 エッチング方法
US7563546B2 (en) * 2004-01-23 2009-07-21 International Business Machiens Corporation Process for creating phase edge structures in a phase shift mask
JP2007522671A (ja) * 2004-02-25 2007-08-09 マイクロニック レーザー システムズ アクチボラゲット 光マスクレスリソグラフィにおいてパターンを露光し、マスクをエミュレートする方法
CN100426462C (zh) * 2005-02-03 2008-10-15 日立笠户机械股份有限公司 图案形成方法
US7582538B2 (en) * 2005-04-06 2009-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of overlay measurement for alignment of patterns in semiconductor manufacturing
US7291285B2 (en) * 2005-05-10 2007-11-06 International Business Machines Corporation Method and system for line-dimension control of an etch process
WO2007131792A1 (en) * 2006-05-17 2007-11-22 Micronic Laser Systems Ab Process for immersion exposure of a substrate
WO2008140585A1 (en) * 2006-11-22 2008-11-20 Nexgen Semi Holding, Inc. Apparatus and method for conformal mask manufacturing
US8071261B2 (en) * 2007-07-20 2011-12-06 Infineon Technologies Ag Lithography masks and methods of manufacture thereof
US20090191468A1 (en) * 2008-01-29 2009-07-30 International Business Machines Corporation Contact Level Mask Layouts By Introducing Anisotropic Sub-Resolution Assist Features
US20090250760A1 (en) * 2008-04-02 2009-10-08 International Business Machines Corporation Methods of forming high-k/metal gates for nfets and pfets
US8077377B2 (en) * 2008-04-24 2011-12-13 Micronic Mydata AB Spatial light modulator with structured mirror surfaces
US10991545B2 (en) 2008-06-30 2021-04-27 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
US10566169B1 (en) 2008-06-30 2020-02-18 Nexgen Semi Holding, Inc. Method and device for spatial charged particle bunching
US7975246B2 (en) 2008-08-14 2011-07-05 International Business Machines Corporation MEEF reduction by elongation of square shapes
US8043434B2 (en) * 2008-10-23 2011-10-25 Lam Research Corporation Method and apparatus for removing photoresist
NL2004297A (en) * 2009-03-20 2010-09-21 Asml Holding Nv Improving alignment target contrast in a lithographic double patterning process.
NL2004365A (en) * 2009-04-10 2010-10-12 Asml Holding Nv Method and system for increasing alignment target contrast.
US8912097B2 (en) * 2009-08-20 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Method and system for patterning a substrate
CN101726990B (zh) * 2009-12-15 2011-12-14 中国科学院光电技术研究所 一种用于200nm以下线宽超衍射光刻的硅掩模及其制作方法
US8539395B2 (en) 2010-03-05 2013-09-17 Micronic Laser Systems Ab Method and apparatus for merging multiple geometrical pixel images and generating a single modulator pixel image
US8211717B1 (en) * 2011-01-26 2012-07-03 International Business Machines Corporation SEM repair for sub-optimal features
KR101181307B1 (ko) 2011-02-09 2012-09-11 한국과학기술원 플라즈마 형성시간 측정방법
US8871102B2 (en) * 2011-05-25 2014-10-28 Western Digital (Fremont), Llc Method and system for fabricating a narrow line structure in a magnetic recording head
US9939682B2 (en) * 2013-02-15 2018-04-10 E-Vision, Llc Liquid crystal alignment layers and method of fabrication
SG11201602499TA (en) 2013-10-07 2016-04-28 Koninkl Philips Nv Precision batch production method for manufacturing ferrite rods
WO2016103843A1 (ja) * 2014-12-26 2016-06-30 Hoya株式会社 マスクブランク、位相シフトマスク、位相シフトマスクの製造方法および半導体デバイスの製造方法
US10658184B2 (en) * 2016-12-15 2020-05-19 Taiwan Semiconductor Manufacturing Co., Ltd. Pattern fidelity enhancement with directional patterning technology
EP3627225A1 (en) * 2018-09-19 2020-03-25 ASML Netherlands B.V. Particle beam apparatus, defect repair method, lithographic exposure process and lithographic system
CN110187415B (zh) * 2019-06-20 2020-07-17 中国科学院光电技术研究所 一种基于反应离子刻蚀减薄的光学元件面形修正方法
KR20230000665A (ko) 2021-06-25 2023-01-03 (주)인터체크 정전기에 의한 패턴의 손상을 방지할 수 있는 레티클 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03116147A (ja) * 1989-09-29 1991-05-17 Hoya Corp フォトマスクブランクおよびフォトマスク
EP0766138A2 (en) * 1995-09-27 1997-04-02 AT&T Corp. Spun-on glass layer as a dry etch-mask, for fabricating a metallic mask by means of a bi-level process
US6001513A (en) * 1998-06-16 1999-12-14 Motorola, Inc. Method for forming a lithographic mask used for patterning semiconductor die

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3732796A (en) 1970-07-09 1973-05-15 Thomson Csf Line tracing systems using laser energy for exposing photo-sensitive substrates
FR2135425B1 (ko) 1971-05-04 1973-08-10 Thomson Csf
JPS57151945A (en) 1981-03-17 1982-09-20 Hoya Corp Photomask blank and its manufacture
USRE33931E (en) 1981-12-21 1992-05-19 American Semiconductor Equipment Technologies Laser pattern generating system
JPS5957431A (ja) 1982-09-27 1984-04-03 Fujitsu Ltd 電子ビ−ム露光装置
US4498010A (en) 1983-05-05 1985-02-05 The Perkin-Elmer Corporation Virtual addressing for E-beam lithography
US4782008A (en) 1985-03-19 1988-11-01 International Business Machines Corporation Plasma-resistant polymeric material, preparation thereof, and use thereof
JPS61256723A (ja) * 1985-05-10 1986-11-14 Fujitsu Ltd X線マスクの形成方法
US4796038A (en) 1985-07-24 1989-01-03 Ateq Corporation Laser pattern generation apparatus
US4806921A (en) 1985-10-04 1989-02-21 Ateq Corporation Rasterizer for pattern generator
GB2189903A (en) 1986-04-01 1987-11-04 Plessey Co Plc An etch technique for metal mask definition
US4767215A (en) 1986-06-09 1988-08-30 Ateoq Corporation Lens characterization apparatus and method
US5310624A (en) * 1988-01-29 1994-05-10 Massachusetts Institute Of Technology Integrated circuit micro-fabrication using dry lithographic processes
US4879605A (en) 1988-02-29 1989-11-07 Ateq Corporation Rasterization system utilizing an overlay of bit-mapped low address resolution databases
US4989255A (en) 1988-03-25 1991-01-29 Texas Instruments Incorporated Expansion of compact database for pattern inspector or writer
US4956650A (en) 1988-08-26 1990-09-11 Ateq Corporation Pattern generation system
JP2714967B2 (ja) * 1988-12-24 1998-02-16 三菱電機株式会社 レジストパターンの形成方法
US5455145A (en) 1988-12-24 1995-10-03 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing double layer resist pattern and double layer resist structure
US5327338A (en) 1990-01-31 1994-07-05 Etec Systems, Inc. Scanning laser lithography system alignment apparatus
JPH03291654A (ja) * 1990-04-10 1991-12-20 Toppan Printing Co Ltd フォトマスクの製造方法
US5103101A (en) 1991-03-04 1992-04-07 Etec Systems, Inc. Multiphase printing for E-beam lithography
JPH05315242A (ja) * 1992-05-06 1993-11-26 Fujitsu Ltd 微細レジストパターンの形成方法
JP2951504B2 (ja) 1992-06-05 1999-09-20 シャープ株式会社 シリル化平坦化レジスト及び平坦化方法並びに集積回路デバイスの製造方法
US5380609A (en) * 1992-07-30 1995-01-10 Dai Nippon Printing Co., Ltd. Method for fabricating photomasks having a phase shift layer comprising the use of a positive to negative resist, substrate imaging and heating
US5386221A (en) 1992-11-02 1995-01-31 Etec Systems, Inc. Laser pattern generation apparatus
WO1994024610A1 (en) * 1993-04-13 1994-10-27 Astarix, Inc. High resolution mask programmable via selected by low resolution photomasking
US5550007A (en) 1993-05-28 1996-08-27 Lucent Technologies Inc. Surface-imaging technique for lithographic processes for device fabrication
US5393987A (en) 1993-05-28 1995-02-28 Etec Systems, Inc. Dose modulation and pixel deflection for raster scan lithography
EP0652400B1 (en) * 1993-11-05 2000-09-20 Vari-Lite, Inc. Light pattern generator (gobo) and laser ablation method and apparatus for making it
US5510230A (en) 1994-10-20 1996-04-23 At&T Corp. Device fabrication using DUV/EUV pattern delineation
US5815245A (en) 1995-03-22 1998-09-29 Etec Systems, Inc. Scanning lithography system with opposing motion
US5948570A (en) * 1995-05-26 1999-09-07 Lucent Technologies Inc. Process for dry lithographic etching
JP3319568B2 (ja) * 1996-05-20 2002-09-03 株式会社東芝 プラズマエッチング方法
US5945238A (en) * 1998-02-06 1999-08-31 Clear Logic, Inc. Method of making a reusable photolithography mask
SE9800665D0 (sv) 1998-03-02 1998-03-02 Micronic Laser Systems Ab Improved method for projection printing using a micromirror SLM
US6284413B1 (en) * 1998-07-01 2001-09-04 Agere Systems Guardian Corp. Method of manufacturing semicustom reticles using reticle primitives and reticle exchanger
JP3710941B2 (ja) * 1998-09-22 2005-10-26 株式会社東芝 パターン形成方法
KR100333546B1 (ko) * 1999-06-10 2002-04-24 박종섭 반도체소자의 제조방법
US6238824B1 (en) * 1999-08-31 2001-05-29 Micron Technology, Inc. Method for designing and making photolithographic reticle, reticle, and photolithographic process
AU2001255614A1 (en) 2000-06-01 2001-12-11 Applied Materials, Inc. High throughput multipass printing with lithographic quality
US6645677B1 (en) * 2000-09-18 2003-11-11 Micronic Laser Systems Ab Dual layer reticle blank and manufacturing process

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03116147A (ja) * 1989-09-29 1991-05-17 Hoya Corp フォトマスクブランクおよびフォトマスク
EP0766138A2 (en) * 1995-09-27 1997-04-02 AT&T Corp. Spun-on glass layer as a dry etch-mask, for fabricating a metallic mask by means of a bi-level process
US6001513A (en) * 1998-06-16 1999-12-14 Motorola, Inc. Method for forming a lithographic mask used for patterning semiconductor die

Also Published As

Publication number Publication date
DE10196638T1 (de) 2003-08-21
US20080131821A1 (en) 2008-06-05
US6605816B2 (en) 2003-08-12
US20070105058A1 (en) 2007-05-10
KR20080095278A (ko) 2008-10-28
US20040229169A1 (en) 2004-11-18
WO2002023272A1 (en) 2002-03-21
US7323291B2 (en) 2008-01-29
US6645677B1 (en) 2003-11-11
JP2004518990A (ja) 2004-06-24
US20020125443A1 (en) 2002-09-12
AU2001282838A1 (en) 2002-03-26
KR20070107810A (ko) 2007-11-07
US7153634B2 (en) 2006-12-26
CN1459048A (zh) 2003-11-26
KR100851092B1 (ko) 2008-08-08
US7588870B2 (en) 2009-09-15
CN1210618C (zh) 2005-07-13
KR20030033071A (ko) 2003-04-26

Similar Documents

Publication Publication Date Title
KR100890665B1 (ko) 이중층 레티클 블랭크 및 이것의 제조방법
KR100592571B1 (ko) 리소그래피 투영장치에서 사용하기 위한 패터닝 디바이스및 그 제조방법
US7981595B2 (en) Reduced pitch multiple exposure process
US6458495B1 (en) Transmission and phase balance for phase-shifting mask
US7906270B2 (en) Reduced pitch multiple exposure process
JP4036884B2 (ja) 基板露光方法
JP5065441B2 (ja) ダブルパターニングリソグラフィプロセスでレジストアライメントマークを形成する装置および方法
KR20040030853A (ko) 광학적으로 이미징된 고성능 포토마스크의 제조 방법
JP2006085174A (ja) リソグラフィ装置およびデバイス製造方法
JP5178760B2 (ja) 半導体デバイスの製造方法及び製造システム
US11215918B2 (en) Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
JP4754547B2 (ja) リソグラフィデバイス製造方法およびコンピュータプログラム
JP4529359B2 (ja) 極限紫外線露光用マスク及びブランク並びにパターン転写方法
US6841316B2 (en) Method for producing a phase shift mask
JP2007281455A (ja) リソグラフィ処理セルおよびデバイス製造方法
US20210255542A1 (en) Method for forming semiconductor device
KR100596276B1 (ko) 감광막 패턴 형성 방법
Dieu et al. Advanced 193 tri-tone EAPSM (9% to 18%) for 65-nm node

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
A107 Divisional application of patent
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111230

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee