KR20060123343A - 탄화규소 막 및 세라믹 막의 증착 방법 - Google Patents

탄화규소 막 및 세라믹 막의 증착 방법 Download PDF

Info

Publication number
KR20060123343A
KR20060123343A KR1020067011987A KR20067011987A KR20060123343A KR 20060123343 A KR20060123343 A KR 20060123343A KR 1020067011987 A KR1020067011987 A KR 1020067011987A KR 20067011987 A KR20067011987 A KR 20067011987A KR 20060123343 A KR20060123343 A KR 20060123343A
Authority
KR
South Korea
Prior art keywords
silicon carbide
reaction chamber
silicon
flow rate
substrate
Prior art date
Application number
KR1020067011987A
Other languages
English (en)
Inventor
메란 메레가니
크리스챤 에이 조만
샤오 안 푸
제레미 엘 듀닝
Original Assignee
케이스 웨스턴 리저브 유니버시티
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이스 웨스턴 리저브 유니버시티 filed Critical 케이스 웨스턴 리저브 유니버시티
Publication of KR20060123343A publication Critical patent/KR20060123343A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Inorganic Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

세라믹 막, 특히 탄화규소 막을 기판 상에 증착하는 방법이 개시되어 있고, 이 방법으로 잔류 응력, 잔류 응력 구배 및 저항률이 조절된다. 또한, 이들 특성이 조절된 막이 증착된 기판과, 이들 특성이 조절된 막이 있는 기판을 갖는 디바이스, 특히 MEMS 및 NEMS 디바이스가 개시되어 있다.

Description

탄화규소 막 및 세라믹 막의 증착 방법{METHOD FOR DEPOSITING SILICON CARBIDE AND CERAMIC FILMS}
본 발명은 탄화규소 막 및 그 외의 막에 관한 것으로서, 보다 상세히는 기판 상에 이들 막의 증착 제어에 관한 것이다.
반도체, 마이크로 및 나노 전자 기계적 시스템(MEMS/NEMS)은 집적 회로 제조 기법을 광학적, 기계적, 전자 화학적 및 바이오센서 디바이스를 제조하는 데에 응용한다. MEMS 및 NEMS 디바이스를 만들 때에 중요한 단계들 중 하나는 재료의 박막을 기판 상에 증착하는 것이다. 일단 막이 증착되면, 다양한 식각 기법을 사용하여 증착된 막을 성형할 수 있다.
통상적인 MEMS/NEMS 디바이스에 있어서, 규소는 일차 재료이다. 탄화규소는 물리적 및 화학적 특성이 매우 우수한 재료이며, 이들 특성은 약 300℃ 이상의 온도에서 주목되고 있다. 탄화규소는, 특히 보통의 가혹한 작업 환경에서 규소에 비해 특별한 탄화규소의 전기적, 기계적 및 화학적 특성 때문에, MEMS 및 NEMS용 막에 사용하기에 유리한 재료이다.
MEMS 생산에서 탄화규소의 개발을 제한하는 장애 중 한가지는 MEMS 및 NEMS에 유리하고 필요로 하는 특성을 갖는 큰 면적의 기판 상에 균일한 탄화규소 막을 증착할 능력이 없다는 것이었다. 탄화규소의 증착시에는 잔류 응력, 잔류 응력 구배 및 전기 저항률이 변동되는 것이 일반적이다. 이들 특성은 MEMS 및 NEMS 디바이스의 적절한 동작에 중요하다.
규소의 경우에, 잔류 응력, 잔류 응력 구배 및 전기 저항률은 고온에서 막을 어닐링함으로써 막이 증착된 후에 조절될 수 있다. 규소의 어닐링은 이들 특성을 변경시키는 결정학적 변화를 유도한다. 단결정 및 다결정 탄화규소의 경우에, 그러한 방안이 실행될 수 없는데, 그 이유는 탄화규소가 일반적인 어닐링 온도에서 화학적 및 결정학적으로 안정적이기 때문이다. 규소 기판 상에 증착된 탄화규소 막의 경우에, 어닐링이 완전히 비효율적인데, 그 이유는 비(非)탄화규소 기판은 어닐링 온도를 효율적인 어닐링을 하기에는 너무 낮은 온도로 제한하기 때문이다. 본 발명은 성막(증착) 공정 중에 탄화규소 막의 잔류 응력, 잔류 응력 구배 및 전기 저항률의 조절을 실시함으로써, 어닐링에 대한 요구를 전적으로 무시한다.
본 발명은 증착된 막의 잔류 응력, 잔류 응력 구배 및 전기 저항률을 조절할 수 있는, 기판 상에 막을 증착하는 방법을 제공한다. 본 발명은 금속 및 비금속 성분을 갖는 세라믹 화합물을 갖는 세라막 막과 같은 다양한 조성의 막을 포함한다. 막은 탄화규소 막이 바람직하다. 탄화규소 막은 기판을 반응 챔버 내에 배치하고 챔버를 약 10 mtorr 미만의 압력으로 탈기시킴으로써 규소 기판 등의 기판 상에 화학 기상 증착법에 의해 증착된다. 챔버의 온도는 약 900℃로 유지된다. 아세틸렌(수소 중 5%) 등의 탄소 전구체가 약 180 SCCM(standard cubic centimeters per minute)의 유량으로 챔버로 공급된다. 디클로로실란(DCS) 등의 규소 전구체는 약 54 SCCM의 유량으로 챔버로 공급된다. 전구체들이 공급되면, 반응 챔버의 압력이 증가되어 고정된 압력으로 유지될 수 있다.
이들 조건하에서, 상당한 응력 구배를 갖는 인장 막은 2.65 torr 미만의 압력으로 증착되고, 상당한 응력 구배를 갖는 압축 막은 2.65 torr보다 큰 압력으로 증착된다. 2.65 torr에서, 막은 매우 낮은 잔류 인장 응력(<20 MPa), 무시할만한 응력 구배 및 계획적으로 도핑하지 않은 상태에서 10 Ωcm 미만인 저항률을 갖는다. 고정된 다른 파라미터에 의해 압력을 조절하면 잔류 인장 응력, 응력 구배 및 전기 저항률을 조절할 수 있다.
다른 실시예에 있어서, 챔버는 약 2.0 torr의 압력으로 유지된다. 아세틸렌(수소 중 5%) 등의 탄소 전구체는 약 180 SCCM의 유량으로 챔버로 공급된다. DCS 등의 규소 전구체는 18 내지 72 SCCM의 유량으로 챔버로 공급된다. 이들 조건 하에서, 상당한 응력 구배를 갖는 인장 막은 35 SCCM 미만의 DCS 유량으로 증착되고, 상당한 응력 구배를 갖는 압축 막은 35 SCCM 이상의 DCS 유량으로 증착된다. 35 SCCM의 DCS 유량에서, 막은 매우 낮은 잔류 인장 응력(<20 MPa), 무시할만한 응력 구배 및 계획적으로 도핑하지 않은 상태에서 10 Ωcm 미만인 저항률을 갖는다. 규소 전구체 DCS의 경우에, 고정된 다른 파라미터에 의해 금속 원소 전구체의 유량을 조절하면 잔류 인장 응력, 응력 구배 및 전기 저항률을 조절할 수 있다.
본 발명은 또한 상부에 탄화규소 막이 증착된 기판으로서, 잔류 응력이 0 ± 100 MPa이고, 달성된 전기 저항률이 10 Ωcm 미만인 기판과, 그러한 기판을 갖는 반도체, MEMS 및 NEMS 디바이스에 관한 것이다.
도 1은 본 발명에 이용되는 장치의 개략도이다.
도 2는 본 발명에 이용되는 장치의 다른 실시예의 개략도이다.
도 3은 본 발명의 한가지 실시예의 잔류 응력 대 압력의 그래프이다.
도 4a는 본 발명의 한가지 실시예에 따라 제조된 막으로부터 탄화규소 캔틸레버의 SEM 마이크로그래프이다.
도 4b는 본 발명의 한가지 실시예에 따라 제조된 막으로부터 탄화규소 캔틸레버의 다른 SEM 마이크로그래프이다.
도 5는 본 발명의 한가지 실시예의 전기 저항률 대 증착 압력의 그래프이다.
도 6은 본 발명의 한가지 실시예의 잔류 응력 대 디클로로실란(dichlorosilane) 유량의 그래프이다.
도 7은 본 발명의 한가지 실시예에 따라 제조된 막으로부터 탄화규소 캔틸레버의 SEM 그래프이다.
도 8은 본 발명의 한가지 실시예의 전기 저항률 대 디클로로실란 유량의 그래프이다.
본 발명은 잔류 응력, 잔류 응력 구배 및 전기 저항률 등의 다양한 특성을 조절하면서 기판 상에 막, 바람직하게는 탄화규소(SiC) 막을 증착하는 것에 관한 것이다. 본 발명은 특히 MEMS 및 NEMS 장치에 사용하기 위한 규소 기판 상에 SiC 의 증착에 관하여 설명하기로 한다. 그러나, 그러한 설명은 본 발명의 예시일 뿐이며, 본 발명은 본 명세서에 포함된 청구범위에 의해서만 제한된다.
탄화규소 막, 특히 다결정 SiC 막은 전술한 바와 같이 MEMS 및 NEMS에 사용하기에 바람직하다. 잔류 인장 응력, 잔류 인장 응력 구배 및 전기 저항률 등의 주요 특성의 조절은 MEMS 및 NEMS에 효율적으로 사용될 수 있는 SiC 막을 제공한다. 약 100 MPa 미만, 바람직하게는 약 50 MPa 미만의 낮은 잔류 응력을 갖는 탄화규소 막이 MEMS 및 NEMS 용례에 매우 바람직하다. 지금까지 종래의 증착 기법은 다결정 탄화규소 막에서 그러한 낮은 응력값을 달성할 수 없었다.
이들 및 다른 용례에 있어서, 잔류 응력 및 잔류 응력 구배 등의 응력 특성과, 전기 저항률 특성의 조절은 또한 응력값이 낮지 않을 수 있는 다른 소정의 값을 달성하는 데에 요망될 수도 있다.
이들 막은, 특히 SiC의 특출한 기계적, 전기적 및 화학적 특성 때문에 가혹한 환경에서 동작하는 디바이스에 사용하는 데 적합하다. 그러한 용례의 예로는 내연 기관 및 제트 기관용 압력 센서와, 풍동 센서 및 장비와, 핵 발전 시스템의 장비 및 제어 시스템이 있다. 또한, 탄화규소는 통상 규소로 제조된 디바이스 구조, 예컨대 가속 센서와, 생체 센서 및 액츄에이터에, 그리고 통상 가혹한 환경을 특징으로 하지 않는 다른 용례에 사용될 수 있다. 탄화규소는 우수한 기계적 및 화학적 특성 뿐만 아니라 SiC와 규소 간의 유사한 전기적 특성을 이용하여 규소의 대체 재료로서 이용될 수 있다.
본 출원인은 잔류 인장 응력이 제로이거나 거의 제로이고, 전기 저항률이 매 우 낮으며, 잔류 인장 응력 구배가 거의 제로가 되도록 규소 기판 및 이산화규소 기판의 특성을 조절한 다결정 SiC 박막을 성공적으로 제조하였다. 이들 막은 규소(Si)용 전구체로서 디클로로실란(SiH2Cl2)과, 탄소용 전구체로서 수소(H2)에 5% 아세틸렌(C2H2)의 혼합물을 이용하여, 저압의 화학 기상 증착법에 의해 제조되었다. 본 출원인은 증착이 발생하는 규소 전구체의 유량 및/또는 압력의 조절이 전술한 특성을 갖는 SiC 막의 제조를 가능하게 한다고 판명하였다. 캔틸레버, 브릿지, 박막 및 측방향 공명 구조체의 성공적인 제조를 완료하여, 미소 기계 가공 용례에서 본 발명에 따라 제조된 재료의 실행 가능성을 입증하였다.
다른 파라미터를 고정시킨 상태에서 규소 전구체의 유량 및/또는 반응 챔버의 증착 압력을 조절하면, 임의의 규소 전구체 및 탄소 전구체용의 기상 증착에 의해 증착되는 탄화규소 막의 잔류 응력, 잔류 응력 구배 및 전기 저항률을 조절할 수 있다고 생각된다. 당업자라면 과도한 실험을 행하지 않아도 최소의 잔류 응력, 잔류 응력 구배 및 전기 저항률을 달성하기에 적절한 압력 및 규소 전구체의 유량을 결정할 수 있고, 대안적인 규소 및 탄소 전구체는 본 발명의 사상 및 범위에서 벗어나지 않는다.
대체가 가능한 규소 전구체의 예로는 특히 실란, 트리클로로실란 및 테트라클로로실란이 있다. 대체가 가능한 탄소 전구체로는 탄소 함유 가스, 메탄, 프로판, 에틸렌, 크실렌, 부탄, 사브롬화탄소 및 그 외의 탄화수소가 있다.
대체가 가능한 규소 및/또는 탄소 전구체는 규소 및 탄소 모두를 위한 단일 소스(singel-source) 전구체를 포함할 수 있다. 실란 및 탄소 모두를 위한 가능한 단일 소스 전구체의 예로는 할로실란(halosilane), 트리메틸실란, 테트라메틸실란, 디메틸디메톡시실란, 테트라메틸사이클로테트라실록산, 비스-트리메틸실릴메탄, 메틸트리클로로실란, 테트라에틸실란, 실아시클로부탄, 디실라부탄 및 당업자에 의해 결정될 수 있는 바와 같이 단일 소스 전구체로서 사용하기에 적절한 임의의 다른 재료가 있다.
단일 소스 전구체가 사용되면, 반응 장치 내에서 탄소 대 규소의 비율을 정확하게 조절하도록 별개의 탄소 전구체 또는 규소 전구체가 챔버에 제공될 수도 있다. 이 때에, 규소의 단일 소스 또는 탄소의 단일 소스의 유량을 변경하여 챔버 내에서 탄소 가스 대 규소 가스의 적당한 비율을 달성함으로써, 적절한 반응이 적절한 비율에서 발생하여 전술한 특성을 갖는 탄화규소 막을 증착할 수 있다.
질화규소(Si3N4), 이산화규소(SiO2), 산질화규소(SiOxNy) 및 탄질화규소(SiCxNy)과 같은 다른 규소계 막이 또한 적절한 전구체를 이용하여 본 발명의 방법에 의해 증착될 수 있다. 질화규소의 경우에, 적절한 전구체로는 규소 전구체용으로 실란(SiH4) 또는 DCS와, 질소 전구체용으로 암모니아(NH3)를 포함할 수 있다. 규소 전구체의 유량 또는 증착 압력을 변경하여 전술한 특성을 갖는 막을 증착할 수 있다. 증착 압력과 규소 전구체 유량의 최적의 범위는 본 발명에 따라 과도한 실험을 행하지 않고도 결정될 수 있다.
전술한 특성을 달성하기 위하여 비규소 세라믹을 기반으로 한 다른 세라믹 막이 또한 본 발명의 방법에 의해 증착될 수 있다. 여기서, "세라믹"이란 용어는 물성이 통상 결정질(비결정질일 수도 있음)인 무기 비금속 재료로서 규정되고, 일반적으로 알루미늄과 산소(알루미나-Al2O3), 칼슘과 산소(칼시아-CaO), 규소와 산소(실리카-SiO2) 및 다른 유사한 산화물과 같이 금속 원소와 비금속 원소 사이에 형성된 화합물, 질화물, 붕화물, 황화물 및 탄화물이다. 비금속 전구체의 유량이 고정 상태로 유지되고 증착 압력 또는 금속 전구체의 유량이 변경되어 전술한 특성을 달성할 수 있다. 증착 압력과 금속 전구체 유량의 최적의 범위는 본 명세서에 제공된 전구체를 이용하여 과도한 실험을 행하지 않아도 결정될 수 있다.
규소 외의 재료를 기반으로 한 다른 화합물의 반도체 막이 또한 전술한 특성을 달성하도록 본 발명의 방법에 의해 증착될 수 있다. 이들 재료로는 GaN, GaAs, GaAs, InP 및 화학 기상 증착법에 의해 증착되는 다른 유사한 반도체 재료가 있으며 이들로 제한되지는 않는다.
본 명세서에 설명되는 실시예는 기판 재료로서 규소를 이용한다. 본 명세서에 설명되는 방법은 탄화규소 및 이산화규소와 같은 규소와 규소계 기판의 용도로 제한되지 않고, 결과적인 막이 잔류 응력을 받는 임의의 기판 재료 상의 증착에 적용될 수 있다.
시험 절차
도 1 및 도 2는 이하의 절차를 수행하는 데에 이용되는 장치를 예시한다. 저압 기상 증착 장치(12, 112)의 반응 챔버(10, 110) 내로 규소 기판, 웨이퍼 및 칩을 로딩하기 전에, 표준 RCA 세척 절차를 이용하여 웨이퍼(14, 114)를 세척한다. 100 mm 직경의 규소 웨이퍼(14, 114)를 종래의 고온벽 수평 원통형 석영로(16, 116) 내에 배치한다. 반응 또는 증착 챔버(10, 110)는 길이가 2007 mm이고 직경이 225 mm이다. 웨이퍼는 이동 가능한 전방 플랜지(22, 122)에 부착된 패들(20, 120) 상에 놓인 SiC 보트(18, 118)에 유지되어 반응 챔버(10, 110)의 중앙 근처에 배치된다.
도 1에 예시된 구성에 있어서, 2개의 작은 분사관(24), 즉 디클로로실란용의 분사관과 아세틸렌용의 분사관은 이들 전구체 가스를 보트(18) 바로 아래에서 챔버(10) 내로 주입하도록 사용된다. 이들 분사관(24)을 수용하기 위하여, 노관은 금속 플랜지(22, 26)에 의해 각 단부가 덮인 긴 석영 실린더(17)로 이루어진 종래의 구성을 갖는다. 분사관(24)은 이들 플랜지(22, 26) 각각의 작은 포트에 부착된다. 전방 플랜지(22)는 챔버 도어로서 기능하는 대형 원형판으로 이루어진다. 이 도어는 자동 로딩 및 언로딩을 위한 캔틸레버 조립체에 부착된다. SiC 보트(18)를 유지하는 패들(20)은 전방 플랜지 도어 조립체(22)의 내측면에만 부착된다. 후방 플랜지(26)는 이동될 수 없으며, 진공 시스템(28)에 부착된 유출 포트가 구비되어 있다. 전구체 가스는 전방 플랜지(22)와 후방 플랜지(26) 양쪽에서 유입 포트(25)를 통해 가스 분사관(24)을 매개로 하여 동시에 주입된다.
도 2에 예시된 제2 구성에 있어서, 분사관(24)을 생략하였다. 이 구성에서, 노관은 전방 단부(130)의 단면이 원형이고 후방부(132)의 형상이 원뿔형인 긴 석영 실린더(117)로 이루어진다. 실린더의 후방부(132)에는 플랜지가 필요없지만, 대신 에 진공 시스템(128)에 직접 부착되는 석영 접관(134)을 포함한다. 가스는 전방 플랜지(122)에 있는 가스 유입 포트(125)를 통해 챔버 내로 주입된다. 가스를 웨이퍼 보트(118) 바로 아래에 주입하는 기구는 구비하지 않았다.
진공 시스템(28, 128)은 형태에 관계없이 완전 로딩된 시스템에서 1 mtorr 미만의 기저 압력에 도달할 수 있는 루트 블로어(root blower)와 기계적 펌프의 조합체로 이루어진다. 압력은 압력 조절 시스템(36, 136)을 통해 조절된다. 압력 조절을 보조하도록 버터플라이 밸브(37, 137)가 제공된다. 가스 유량 및 압력 조절 시스템(36, 136)은 종래의 노 제어 컴퓨터 시스템(도시 생략)에 의해 제어된다. 반응 챔버(10, 110) 내의 온도는 저항 가열식 코일(38, 138)을 매개로 조절된다.
각 로드는 단 하나로 균일하게 분포되는 25개의 Si 웨이퍼와, 50-슬롯 SiC 보트로 이루어진다. 첫번째 및 마지막 5개의 웨이퍼는 가스 흐름을 안정시키도록 배플 웨이퍼로서 설계하였다. 로딩을 마치고 슬롯(6, 10, 13, 16 및 20) 내의 웨이퍼를 연구를 위해 선정하였다.
실시예 1
도 1은 이들 시험에 사용되는 저압의 화학 기상 증착 장치(12)를 예시한다. 약 0.42 torr 내지 약 5 torr로 설정한 압력으로 2시간 동안 증착을 수행하였다. 몇몇 경우에, 보다 긴 시간을 이용하여 더 두꺼운 막을 증착하였다. DCS의 유량과 아세틸렌(수소 중 5%)의 유량을 각각 약 54 SCCM(stand cubic centimeters per minute)과 180 SCCM으로 일정하게 유지하였다. 온도는 약 900℃로 고정 유지하였다. 노는 아세틸렌과 DCS 가스들을 반응 챔버 내로 주입하는 분사관을 구비하도록 구성하였다.
각 증착에 이어서, 막의 두께를 나노스펙(Nanospec) 4000 AFT 분광광도계를 이용하여 광학적으로 측정하였다. 막의 잔류 응력은 레이저계 곡률 측정 시스템[FSM(Frontier Semiconductor Mesurement) 120]을 이용하여 막 증착 전후에 규소 웨이퍼의 곡률을 측정함으로써 결정하였다. 탄화규소막을 웨이퍼 양면 상에 증착하고, CHF3/O2 혼합물에서의 반응성 식각을 이용하여 웨이퍼 이면에 증착된 막을 제거하였다.
도 3은 일련의 시험으로부터 기인한, 900℃에서 SiC의 증착 압력과 잔류 인장 응력 사이의 관계를 예시한다. 잔류 응력은 456 mtorr에서의 약 700 MPa(인장)으로부터 5 torr에서의 약 -100 MPa(압축)까지 대략적으로 변화되었고, 약 2.65 torr에서 증착된 막은 거의 제로의 잔류 응력을 갖는다. 약 2.5 torr 내지 약 5 torr의 압력에서 증착된 막은 약 100 MPa 내지 -100 MPa의 응력값을 갖는다. 응력값은 도 3에 도시된 바와 같이 동일한 작업에서의 웨이퍼 간에는 거의 변하지 않는다.
본 실시예에 따라 제조된 약 500 mm 두께의 다결정 SiC 막으로부터 여러 증착 압력에서의 응력 구배를 특징으로 하는 단층 캔틸레버 비임을 제조하였다. 응력 구배는 막 두께의 함수로서 잔류 응력의 크기 변화이다. 응력 구배는 캔틸레버 비임을 구부러지게 하는 데 반해, 응력 구배가 없거나 거의 없는 막으로부터 제조된 비임은 평탄하게 유지된다. MEMS 및 NEMS 디바이스의 경우에, 디바이스 구조에 평탄도가 요구되면 제로에 가까운 응력 구배가 요망된다. 만곡 구조 또는 팽팽한 구조가 요구되는 용례에서는 MEMS/NEMS 디바이스의 구조층에서 잔류 응력 구배가 요망된다. 그러한 구조에서는, 잔류 응력 구배의 정확한 조절을 필요로 한다. 응력 구배의 조절은 잔류 응력의 정확한 조절을 필요로 한다.
도 4a는 약 2.65 torr에서 본 발명에 따라 제조된 캔틸레버 비임(210)을 예시한다. 비임(210)은 거의 평탄하고, (설사 있다 해도) 만곡이 거의 없다. 도 4b는 약 3.75 torr에서 본 발명에 따라 제조되어 응력을 받은 캔틸레버 비임(212)을 예시한다. 이 비임(212)은 상방으로 약간 만곡된다.
도 5는 여러 증착 압력에서 본 발명에 따라 제조된 막의 전기 저항률을 예시한다. 이들 데이터는 증착 압력과 전기 저항률 간의 관계를 나타낸다. 최소 전기 저항률은 잔류 응력과 잔류 응력 구배가 거의 제로인 증착 압력, 즉 약 2.65 torr 근처에서 발생한다. 전기 저항률은 약 2.0 torr보다 약간 큰 압력 내지 약 4.5 torr의 증착 압력에서 10 Ωcm 미만이다. 이들 값은 (SiC를 비롯하여) 다른 반도체에 비해 높은 것으로 보일 수 있지만, 이 측정은 증착 전 또는 후에 도핑되지 않은 다결정 막으로부터 제조되었다. 반도체 재료, 구체적으로 SiC의 전기 저항률을 저감시키기 위하여 도핑 절차를 이용하는 것은 일반적인 일이다. 증착 공정 중에 도핑은 낮은 응력과 낮은 응력 구배를 보이는 상태를 이용하는 것이 가장 효율적이라고 이들 조사 결과는 강력하게 제안하고 있다.
실시예 2
도 2에 예시된 저압의 화학 기상 증착 장치(112)를 이용한 것(분사관 없고, 단일의 전방 플랜지) 외에 전술한 바와 동일한 절차를 이용하였다. 이 일련의 시험의 경우, 증착 압력은 약 2.0 torr로 실질적으로 일정하게 유지되었고, DCS 경우에, 규소 전구체의 유량이 약 18 SCCM 내지 약 54 SCCM으로 변동되었다. 아세틸렌(수소 중 5%)의 유량은 약 180 SCCM에서 고정하고, 반응 챔버의 온도는 약 900℃로 유지하였다.
전술한 바와 같이, 막은 잔류 응력, 잔류 응력 구배 및 전기 저항률을 특징으로 한다. 도 6은 DCS의 측정된 잔류 응력 대 유량을 예시한다. 관찰된 잔류 응력은 DCS 유량의 함수로서 36 SCCM의 유량까지 저감되었다. 잔류 응력은 36 SCCM의 유량에서처럼 54 SCCM의 유량에서 거의 동일하다. 도 6에 예시된 유량의 함수로서의 잔류 응력은 도 3에 예시된 증착 압력의 함수로서의 잔류 응력과 유사한 것으로 보인다.
도 7은 약 35 SCCM의 디클로로실란 유량에서 본 발명의 이 실시예에 따라 제조된 미소 기계 가공된 제2 캔틸레버 비임(214)의 SEM 마이크로그래프이다. 도 6으로부터 알 수 있는 바와 같이, 약 35 SCCM의 디클로로실란 유량은 50 MPa 미만의 잔류 응력에 대응한다. 낮은 잔류 응력값을 갖는 막, 예컨대 도 7의 제2 캔틸레버 비임(214)은 매우 낮은 잔류 응력 구배를 보인다. 도 7에 예시된 제2 캔틸레버 비임(214)은 실질적으로 만곡이 없다.
도 8은 전기 저항률 대 DCS 유량의 그래프로서, 전기 저항률이 DCS 유량과 밀접한 관계를 보인다는 것을 예시한다. 3 Ωcm보다 약간 큰 전기 저항률의 최소값은 35 SCCM과 36 SCCM에서 발생한다. 실시예 1과 같이, 증착 공정 중 또는 그 후에 막을 계획적으로 도핑하지 않았다. 이 실시예에서, 제로에 가장 근접한 잔류 응력과 전기 저항률값은 약 35 SCCM의 DCS 유량에서 발생되었다.
본 발명을 상기 실시예의 설명에 의해 예시하였고, 실시예를 약간 상세하게 설명하였지만, 그러한 상세한 설명으로 본 발명의 범위를 한정하거나 어떠한 방식으로든 제한하는 것은 본 출원인의 의도가 아니다. 대안적인 전구체의 이용 또는 대안적인 막의 증착과 같이 추가 이점 및 변경은 당업자에게 쉬운 것으로 생각된다. 그러므로, 광의의 양태에서 본 발명은 도시 및 설명된 특정한 설명, 대표적인 장치와 방법 및 예시된 실시예로 제한되지 않는다. 따라서, 출원인의 개괄적인 발명의 개념의 사상 또는 범위에서 벗어남이 없이 그러한 상세한 설명으로부터 일탈이 이루어질 수 있다.

Claims (53)

  1. 탄화규소 막을 화학 기상 증착법에 의해 기판 상에 증착하는 방법으로서,
    (a) 하나 이상의 기판을 반응 챔버 내에 배치하는 단계와,
    (b) 상기 반응 챔버에 규소 전구체를 소정의 고정된 유량으로 공급하는 단계와,
    (c) 상기 반응 챔버에 탄소 전구체를 소정의 고정된 유량으로 공급하는 단계와,
    (d) 반응 챔버 내의 압력을 조절함으로써 증착된 탄화규소 막의 응력을 조절하는 단계
    를 포함하는 탄화규소 막의 증착 방법.
  2. 제1항에 있어서, 상기 기판은 규소인 것인 탄화규소 막의 증착 방법.
  3. 제1항에 있어서, 상기 기판은 이산화규소 또는 탄화규소인 것인 탄화규소 막의 증착 방법.
  4. 제1항에 있어서, 상기 탄화규소는 다결정 탄화규소를 포함하는 것인 탄화규소 막의 증착 방법.
  5. 제1항에 있어서, 상기 규소 전구체의 소정의 유량은 약 54 SCCM(standard cubic centimeters per minute)인 것인 탄화규소 막의 증착 방법.
  6. 제1항에 있어서, 상기 규소 전구체는 실란, 할로실란(halosilane), 트리메틸실란, 테트라메틸실란, 디메틸디메톡시실란, 테트라메틸사이클로테트라실록산, 비스-트리메틸실릴메탄, 메틸트리클로로실란, 테트라에틸실란 및 실아시클로부탄으로 이루어지는 군에서 선택되는 것인 탄화규소 막의 증착 방법.
  7. 제6항에 있어서, 상기 할로실란은 디클로로실란, 트리클로로실란 및 테트라클로로실란으로 이루어지는 군에서 선택되는 것인 탄화규소 막의 증착 방법.
  8. 제7항에 있어서, 상기 규소 전구체는 디클로로실란인 것인 탄화규소 막의 증착 방법.
  9. 제1항에 있어서, 상기 반응 챔버 내의 압력은 약 0.42 torr 내지 약 5 torr 로 조절되는 것인 탄화규소 막의 증착 방법.
  10. 제9항에 있어서, 상기 반응 챔버 내의 압력은 약 2.65 torr로 조절되는 것인 탄화규소 막의 증착 방법.
  11. 제1항에 있어서, 상기 반응 챔버 내의 압력은 증착된 탄화규소 막의 잔류 응력을 최소화시키도록 조절되는 것인 탄화규소 막의 증착 방법.
  12. 제11항에 있어서, 상기 증착된 탄화규소 막의 잔류 응력은 약 700 MPa 내지 약 -100 Mpa인 것인 탄화규소 막의 증착 방법.
  13. 제11항에 있어서, 상기 반응 챔버 내의 압력은 약 2.65 torr로 조절되는 것인 탄화규소 막의 증착 방법.
  14. 제1항에 있어서, 상기 반응 챔버를 고정된 온도로 유지하는 단계를 더 포함하는 것인 탄화규소 막의 증착 방법.
  15. 제14항에 있어서, 상기 고정된 온도는 약 900℃인 것인 탄화규소 막의 증착 방법.
  16. 제1항에 있어서, 상기 탄소 전구체의 소정의 유량은 약 180 SCCM인 것인 탄화규소 막의 증착 방법.
  17. 제1항에 있어서, 상기 탄소 전구체를 공급하는 단계는 수소 중 아세틸렌을 약 180 SCCM의 유량으로 반응 챔버에 공급하는 단계를 포함하는 것인 탄화규소 막 의 증착 방법.
  18. 제1항에 있어서, 상기 탄화규소의 전기 저항률을 조절하는 단계를 더 포함하는 것인 탄화규소 막의 증착 방법.
  19. 제18항에 있어서, 상기 증착된 탄화규소의 전기 저항률은 약 10Ωcm 미만인 것인 탄화규소 막의 증착 방법.
  20. 제18항에 있어서, 상기 전기 저항률을 조절하는 단계는 반응 챔버 내의 압력을 조절하는 단계를 포함하는 것인 탄화규소 막의 증착 방법.
  21. 탄화규소 막을 화학 기상 증착법에 의해 기판 상에 증착하는 방법으로서,
    (a) 하나 이상의 기판을 반응 챔버 내에 배치하는 단계와,
    (b) 상기 반응 챔버를 소정의 압력으로 유지하는 단계와,
    (c) 상기 반응 챔버에 탄소 전구체를 소정의 고정된 유량으로 공급하는 단계와,
    (d) 상기 반응 챔버에 규소 전구체를 소정의 유량으로 공급하는 단계와,
    (e) 규소 전구체의 유량을 조절하여 증착된 탄화규소 막의 응력을 조절하는 단계
    를 포함하는 탄화규소 막의 증착 방법.
  22. 제21항에 있어서, 상기 기판은 규소인 것인 탄화규소 막의 증착 방법.
  23. 제21항에 있어서, 상기 기판은 이산화규소인 것인 탄화규소 막의 증착 방법.
  24. 제21항에 있어서, 상기 탄화규소는 다결정 탄화규소를 포함하는 것인 탄화규소 막의 증착 방법.
  25. 제21항에 있어서, 상기 소정의 압력은 약 2.0 torr인 것인 탄화규소 막의 증착 방법.
  26. 제21항에 있어서, 상기 규소 전구체는 실란, 할로실란, 트리메틸실란, 테트라메틸실란, 디메틸디메톡시실란, 테트라메틸사이클로테트라실록산, 비스-트리메틸실릴메탄, 메틸트리클로로실란, 테트라에틸실란 및 실아시클로부탄으로 이루어지는 군에서 선택되는 것인 탄화규소 막의 증착 방법.
  27. 제26항에 있어서, 상기 할로실란은 디클로로실란, 트리클로로실란 및 테트라클로로실란으로 이루어지는 군에서 선택되는 것인 탄화규소 막의 증착 방법.
  28. 제27항에 있어서, 상기 규소 전구체는 디클로로실란인 것인 탄화규소 막의 증착 방법.
  29. 제21항에 있어서, 상기 규소 전구체의 유량은 약 18 SCCM 내지 약 54 SCCM 으로 조절되는 것인 탄화규소 막의 증착 방법.
  30. 제29항에 있어서, 상기 규소 전구체의 유량은 약 36 SCCM으로 조절되는 것인 탄화규소 막의 증착 방법.
  31. 제21항에 있어서, 상기 규소 전구체의 유량은 증착된 탄화규소 막의 잔류 응력을 최소화시키도록 조절되는 것인 탄화규소 막의 증착 방법.
  32. 제31항에 있어서, 상기 증착된 탄화규소 막의 잔류 응력은 약 700 MPa 내지 약 -100 Mpa인 것인 탄화규소 막의 증착 방법.
  33. 제31항에 있어서, 상기 규소 전구체의 유량은 약 36 SCCM으로 조절되는 것인 탄화규소 막의 증착 방법.
  34. 제21항에 있어서, 상기 반응 챔버를 고정된 온도로 유지하는 단계를 더 포함하는 것인 탄화규소 막의 증착 방법.
  35. 제34항에 있어서, 상기 고정된 온도는 약 900℃인 것인 탄화규소 막의 증착 방법.
  36. 제21항에 있어서, 상기 탄소 전구체의 소정의 유량은 약 180 SCCM인 것인 탄화규소 막의 증착 방법.
  37. 제21항에 있어서, 상기 탄소 전구체를 공급하는 단계는 수소 중 아세틸렌을 약 180 SCCM의 유량으로 반응 챔버에 공급하는 단계를 포함하는 것인 탄화규소 막의 증착 방법.
  38. 제21항에 있어서, 상기 탄화규소의 전기 저항률을 조절하는 단계를 더 포함하는 것인 탄화규소 막의 증착 방법.
  39. 제38항에 있어서, 상기 증착된 탄화규소의 전기 저항률은 약 10Ωcm 미만인 것인 탄화규소 막의 증착 방법.
  40. 제38항에 있어서, 상기 전기 저항률을 조절하는 단계는 규소 전구체의 유량을 조절하는 단계를 포함하는 것인 탄화규소 막의 증착 방법.
  41. 탄화규소 막을 기판 상에 증착하는 동안에 원하는 응력값을 달성하기 위하여 탄화규소 막의 응력을 조절하는 방법으로서,
    (a) 하나 이상의 기판을 반응 챔버 내에 배치하는 단계와,
    (b) 상기 반응 챔버에 규소 전구체를 소정의 고정된 유량으로 공급하는 단계와,
    (c) 상기 반응 챔버에 탄소 전구체를 소정의 고정된 유량으로 공급하는 단계와,
    (d) 원하는 응력값을 달성하도록 상기 반응 챔버 내의 압력을 조절하는 단계
    를 포함하는 탄화규소 막의 응력 조절 방법.
  42. 탄화규소 막을 기판 상에 증착하는 동안에 원하는 응력값을 달성하기 위하여 탄화규소 막의 응력을 조절하는 방법으로서,
    (a) 하나 이상의 기판을 반응 챔버 내에 배치하는 단계와,
    (b) 상기 반응 챔버를 소정의 압력으로 유지하는 단계와,
    (c) 상기 반응 챔버에 탄소 전구체를 소정의 고정된 유량으로 공급하는 단계와,
    (d) 원하는 응력값을 달성하도록 상기 반응 챔버에 공급되는 규소 전구체의 유량을 조절하는 단계
    를 포함하는 탄화규소 막의 응력 조절 방법.
  43. 탄화규소 막이 상부에 증착되는 기판으로서, 탄화 규소 막의 잔류 응력이 약 100 MPa 내지 약 -100 MPa인 것인 기판.
  44. 제43항에 있어서, 상기 탄화규소 막의 전기 저항률은 약 5 Ωcm 미만인 것인 기판
  45. 제43항에 있어서, 상기 잔류 응력은 약 0 MPa인 것인 기판.
  46. 제43항에 있어서, 상기 기판은 규소 웨이퍼 또는 규소 칩인 것인 기판.
  47. 탄화규소 막이 상부에 증착되는 기판을 포함하는 미소 기계적, 미소 전자 기계적, 나노 기계적 또는 나노 전자 기계적 디바이스로서, 상기 탄화규소 막은 약 100 MPa 내지 약 -100 MPa의 잔류 응력과, 약 5 Ωcm 미만의 전기 저항률을 갖는 것인 디바이스.
  48. 제1항의 방법에 의해 탄화규소 막이 상부에 증착되는 기판을 포함하는, 미소 기계적, 미소 전자 기계적, 나노 기계적 또는 나노 전자 기계적 디바이스.
  49. 제21항의 방법에 의해 탄화규소 막이 상부에 증착되는 기판을 포함하는, 미소 기계적, 미소 전자 기계적, 나노 기계적 또는 나노 전자 기계적 디바이스.
  50. 세라믹 막에서 원하는 잔류 응력을 달성하도록 세라믹 막을 기판 상에 증착하는 방법으로서,
    (a) 하나 이상의 기판을 반응 챔버 내에 배치하는 단계와,
    (b) 상기 반응 챔버를 소정의 고정된 온도로 유지하는 단계와,
    (c) 상기 반응 챔버에 금속 원소 전구체를 소정의 고정된 유량으로 공급하는 단계와,
    (d) 상기 반응 챔버에 비금속 원소 전구체를 소정의 고정된 유량으로 공급하는 단계와,
    (e) 상기 반응 챔버 내의 압력을 조절함으로써, 증착된 탄화규소 막의 응력을 조절하는 단계
    를 포함하는 세라믹 막의 증착 방법.
  51. 제50항에 있어서, 상기 막은 화합물 반도체 막인 것인 세라믹 막의 증착 방법.
  52. 세라믹 막에서 원하는 잔류 응력을 달성하도록 세라믹 막을 기판 상에 증착하는 방법으로서,
    (a) 하나 이상의 기판을 반응 챔버 내에 배치하는 단계와,
    (b) 상기 반응 챔버를 소정의 고정된 온도로 유지하는 단계와,
    (c) 상기 반응 챔버를 소정의 고정된 압력으로 유지하는 단계와,
    (d) 상기 반응 챔버에 비금속 원소 전구체를 소정의 고정된 유량으로 공급하는 단계와,
    (e) 상기 반응 챔버에 금속 원소 전구체를 소정의 유량으로 공급하는 단계와,
    (f) 증착된 탄화규소 막에서 원하는 잔류 응력을 달성하도록 상기 금속 원소 전구체의 유량을 조절하는 단계
    를 포함하는 세라믹 막의 증착 방법.
  53. 제52항에 있어서, 상기 막은 화합물 반도체 막인 것인 세라믹 막의 증착 방법.
KR1020067011987A 2003-11-18 2004-11-05 탄화규소 막 및 세라믹 막의 증착 방법 KR20060123343A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/716,006 2003-11-18
US10/716,006 US7261919B2 (en) 2003-11-18 2003-11-18 Silicon carbide and other films and method of deposition

Publications (1)

Publication Number Publication Date
KR20060123343A true KR20060123343A (ko) 2006-12-01

Family

ID=34574334

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020067011987A KR20060123343A (ko) 2003-11-18 2004-11-05 탄화규소 막 및 세라믹 막의 증착 방법

Country Status (9)

Country Link
US (3) US7261919B2 (ko)
EP (1) EP1690287A2 (ko)
JP (1) JP4758354B2 (ko)
KR (1) KR20060123343A (ko)
CN (1) CN1906735A (ko)
AU (1) AU2004291847A1 (ko)
CA (1) CA2546081A1 (ko)
IL (1) IL175640A0 (ko)
WO (1) WO2005049884A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130074704A (ko) * 2011-12-26 2013-07-04 엘지이노텍 주식회사 증착 장치
KR20130077488A (ko) * 2011-12-29 2013-07-09 엘지이노텍 주식회사 탄화규소 증착 방법
KR20190113619A (ko) * 2018-03-28 2019-10-08 도쿄엘렉트론가부시키가이샤 붕소계 막의 성막 방법 및 성막 장치

Families Citing this family (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7563633B2 (en) * 2006-08-25 2009-07-21 Robert Bosch Gmbh Microelectromechanical systems encapsulation process
TWI475594B (zh) * 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
US20100083762A1 (en) * 2008-10-02 2010-04-08 Evoy Stephane Fabrication and use of submicron wide suspended structures
US8861170B2 (en) 2009-05-15 2014-10-14 Entegris, Inc. Electrostatic chuck with photo-patternable soft protrusion contact surface
WO2010132640A2 (en) 2009-05-15 2010-11-18 Entegris, Inc. Electrostatic chuck with polymer protrusions
DE102009040785A1 (de) * 2009-09-09 2011-03-10 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Substrat aus einer Aluminium-Silizium-Legierung oder kristallinem Silizium, Metallspiegel, Verfahren zu dessen Herstellung sowie dessen Verwendung
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
CN105196094B (zh) 2010-05-28 2018-01-26 恩特格林斯公司 高表面电阻率静电吸盘
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5817127B2 (ja) * 2011-01-21 2015-11-18 株式会社Sumco 半導体基板及びその製造方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
CN104619881A (zh) * 2012-08-17 2015-05-13 株式会社Ihi 耐热复合材料的制造方法及制造装置
US9546420B1 (en) * 2012-10-08 2017-01-17 Sandia Corporation Methods of depositing an alpha-silicon-carbide-containing film at low temperature
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
KR101469713B1 (ko) * 2012-12-06 2014-12-05 연세대학교 산학협력단 경사형 C/SiC 코팅막 형성 방법 및 장치
JP6249815B2 (ja) * 2014-02-17 2017-12-20 株式会社Ihi 耐熱複合材料の製造方法及び製造装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN104681413A (zh) * 2015-02-25 2015-06-03 苏州工业园区纳米产业技术研究院有限公司 低应力多晶硅薄膜的制作方法
WO2016141579A1 (en) * 2015-03-12 2016-09-15 Ibiden Co., Ltd. Method for manufacturing cvd-sic material
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
JP6571276B2 (ja) 2015-08-31 2019-09-04 イー インク コーポレイション 描画デバイスの電子的な消去
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
EP3503163A1 (en) * 2017-12-21 2019-06-26 EpiGan NV A method for forming a silicon carbide film onto a silicon substrate
CN110345031B (zh) * 2018-04-03 2020-12-11 中国科学院理化技术研究所 一种舰艇发电系统

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4560589A (en) * 1982-09-22 1985-12-24 Shin-Etsu Chemical Co., Ltd. Method for providing a coating layer of silicon carbide on substrate surface
JPS59128281A (ja) * 1982-12-29 1984-07-24 信越化学工業株式会社 炭化けい素被覆物の製造方法
US4971851A (en) * 1984-02-13 1990-11-20 Hewlett-Packard Company Silicon carbide film for X-ray masks and vacuum windows
JP2534525B2 (ja) * 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
JP2823276B2 (ja) * 1989-03-18 1998-11-11 株式会社東芝 X線マスクの製造方法および薄膜の内部応力制御装置
EP0445319B1 (en) 1990-03-05 1995-11-08 International Business Machines Corporation Process for fabricating silicon carbide films with a predetermined stress
JP2556621B2 (ja) * 1990-12-11 1996-11-20 ホーヤ株式会社 炭化ケイ素膜の成膜方法
JPH051380A (ja) * 1991-06-24 1993-01-08 Hoya Corp 炭化ケイ素の成膜方法
JPH05335216A (ja) * 1992-05-29 1993-12-17 Fujitsu Ltd X線マスク及びその製造方法
US5296258A (en) * 1992-09-30 1994-03-22 Northern Telecom Limited Method of forming silicon carbide
US5415126A (en) * 1993-08-16 1995-05-16 Dow Corning Corporation Method of forming crystalline silicon carbide coatings at low temperatures
EP0665305A4 (en) * 1993-08-17 1996-01-10 Aktsionernoe Obschestvo Russko METHOD FOR PRODUCING LAYERS FROM SI CARBIDE AND PRODUCT PRODUCED THEREOF.
US5480695A (en) * 1994-08-10 1996-01-02 Tenhover; Michael A. Ceramic substrates and magnetic data storage components prepared therefrom
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
JP3607454B2 (ja) * 1997-03-31 2005-01-05 Hoya株式会社 X線マスク用x線透過膜、x線マスクブランク及びx線マスク並びにこれらの製造方法並びに炭化珪素膜の研磨方法
US6103590A (en) * 1997-12-12 2000-08-15 Texas Instruments Incorporated SiC patterning of porous silicon
US6189766B1 (en) * 1998-07-10 2001-02-20 Northrop Grumman Corporation Zero stress bonding of silicon carbide to diamond
US7018947B2 (en) * 2000-02-24 2006-03-28 Shipley Company, L.L.C. Low resistivity silicon carbide
US6764958B1 (en) * 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130074704A (ko) * 2011-12-26 2013-07-04 엘지이노텍 주식회사 증착 장치
KR20130077488A (ko) * 2011-12-29 2013-07-09 엘지이노텍 주식회사 탄화규소 증착 방법
KR20190113619A (ko) * 2018-03-28 2019-10-08 도쿄엘렉트론가부시키가이샤 붕소계 막의 성막 방법 및 성막 장치

Also Published As

Publication number Publication date
US8153280B2 (en) 2012-04-10
US20110001143A1 (en) 2011-01-06
JP4758354B2 (ja) 2011-08-24
IL175640A0 (en) 2006-09-05
JP2007516355A (ja) 2007-06-21
US20050106320A1 (en) 2005-05-19
EP1690287A2 (en) 2006-08-16
USRE42887E1 (en) 2011-11-01
AU2004291847A1 (en) 2005-06-02
CN1906735A (zh) 2007-01-31
US7261919B2 (en) 2007-08-28
WO2005049884A3 (en) 2005-11-17
CA2546081A1 (en) 2005-06-02
WO2005049884A2 (en) 2005-06-02

Similar Documents

Publication Publication Date Title
KR20060123343A (ko) 탄화규소 막 및 세라믹 막의 증착 방법
KR101206093B1 (ko) 유기아미노실란 전구체로부터 산화규소 막을 형성시키는 방법
KR102227965B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JPH07147251A (ja) 結晶性炭化ケイ素膜の成長方法
Fu et al. Polycrystalline 3C-SiC thin films deposited by dual precursor LPCVD for MEMS applications
US11390944B2 (en) Film-forming device and method for cleaning same
KR20180042228A (ko) 단결정 실리콘 상에 결정축을 따라서 3C-SiC을 성장시키는 방법
Fu et al. Use of deposition pressure to control residual stress in polycrystalline SiC films
KR20190043509A (ko) 식각 특성이 향상된 화학기상증착 실리콘 카바이드 벌크
KR101936171B1 (ko) 탄화규소 에피 웨이퍼 제조 방법 및 탄화규소 에피 웨이퍼
WO2021053987A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
MXPA06005282A (en) Method for depositing silicon carbide and ceramic films
KR20180125099A (ko) 화학기상증착 저 저항 실리콘 카바이드 벌크 제조 장치
KR101936170B1 (ko) 탄화규소 에피 웨이퍼 제조 방법
Mehregany et al. Composition Comprising Silicon Carbide
CN115244655A (zh) 站与站之间的背面弯曲补偿沉积的控制
KR20190143383A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP2007039274A (ja) 気相成長装置、iii族窒化物半導体基板の製造方法、iii族窒化物半導体基板
WO2021171466A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
WO2022064600A1 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
Fu et al. Mechanical properties and morphology of polycrystalline 3C-SiC films deposited on Si and SiO2 by LPCVD
TW202309341A (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
Zorman et al. Deposition techniques for SiC MEMS
Lopata et al. Control and variation of stress in pecvd SiNx films on InP
KR20140003017A (ko) 탄화규소 에피 웨이퍼 및 이의 제조 방법

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid