MXPA06005282A - Method for depositing silicon carbide and ceramic films - Google Patents

Method for depositing silicon carbide and ceramic films

Info

Publication number
MXPA06005282A
MXPA06005282A MXPA/A/2006/005282A MXPA06005282A MXPA06005282A MX PA06005282 A MXPA06005282 A MX PA06005282A MX PA06005282 A MXPA06005282 A MX PA06005282A MX PA06005282 A MXPA06005282 A MX PA06005282A
Authority
MX
Mexico
Prior art keywords
silicon
reaction chamber
silicon carbide
flow rate
substrate
Prior art date
Application number
MXPA/A/2006/005282A
Other languages
Spanish (es)
Inventor
Mehregany Mehran
A Zorman Chrstian
Fu Xiaoan
L Dunning Jeremy
Original Assignee
L Dunning Jeremy
Fu Xiaoan
Mehregany Mehran
Zorman Christian A
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by L Dunning Jeremy, Fu Xiaoan, Mehregany Mehran, Zorman Christian A filed Critical L Dunning Jeremy
Publication of MXPA06005282A publication Critical patent/MXPA06005282A/en

Links

Abstract

A method of depositing a ceramic film, particularly a silicon carbide film, on a substrate is disclosed in which the residual stress, residual stress gradient, and resistivity are controlled. Also disclosed are substrates having a deposited film with these controlled properties and devices, particularly MEMS and NEMS devices, having substrates with films having these properties.

Description

METHOD FOR DEPOSITING SILICON CARBIDE AND CERAMIC FILMS DECLARATION ON RESEARCH OR DEVELOPMENT SPONSORED BY THE FEDERAL GOVERNMENT A part of this invention was made with government support within the framework of Contracts Nos. NCA3-201 granted by NASA and DABT 63-1-0010 granted by DARPA. The government has certain rights over this invention. BACKGROUND The present invention relates to silicon carbide films and other films, and more particularly, to the controlled deposition of these films on a substrate. The semiconductor, microelectromechanical and nanoelectromechanical systems (MEMS / NEMS) apply an integrated circuit manufacturing technology to manufacture optical, chemical, electrochemical and biosensing devices. One of the important steps in the creation of MEMS and NEMS devices is the deposit of thin films of material on substrates. Once the films are deposited, various etching techniques can be used to shape the deposited film. In typical MEMS / NEMS devices, silicon is a primary material. Silicon carbide is a material that has very good physical and chemical characteristics and is notable for these properties at temperatures above about 300 ° C. Silicon carbide is a useful material for use in films for MEMS and NEMS, especially because of its excellent electrical, mechanical - and chemical properties compared to silicon in normal and rough operating environments. One of the barriers limiting the development of silicon carbide in the production of MEMS has been the inability to deposit uniform films of silicon carbide in large area substrates that have properties that are beneficial and required for MEMS and NEMS. The silicon carbide deposit is conventionally subject to variations in residual stress, residual stress gradient, and electrical resistivity. These properties are important for the correct operation of MEMS and NEMS devices. With the silicon, the residual stress, residual stress gradient and electrical resistivity can be controlled after depositing the film by hybridization of the film at elevated temperatures. Hybridization in silicon induces crystallographic changes that result in the modification of these properties. With simple and polycrystalline crystalline silicon carbide, such an approach is not feasible since the silicon carbide exhibits chemical and crystallographic stability at conventional hybridization temperatures. In the case of silicon carbide films deposited on silicon substrate, the hybridization is totally ineffective since the non-silicon carbide substrate limits the hybridization temperatures at temperatures too low for effective hybridization. The present invention avoids the need for hybridization by the application of residual stress control, residual stress gradient, and electrical resistivity in silicon carbide films during the film forming process (deposit). COMPENDIUM OF THE INVENTION The present invention offers methods for depositing films on a substrate that allow to control the residual stress, residual stress gradient, and electrical resistivity of the deposited film. The invention includes films of various compositions, such as ceramic films with the ceramic composite having a metallic component and a non-metallic component. Preferably, the film is a silicon carbide film. The silicon carbide film is deposited by vapor deposition on a substrate, such as a silicon substrate, by placing the substrate in a reaction chamber and evacuating the chamber at a pressure of less than about 10 mtorr. The temperature of the chamber is maintained at approximately 900 ° C. A carbon precursor, such as acetylene (5% in hydrogen) is fed to the chamber at a flow rate of approximately 180 standard cubic centimeters per minute (sccm). A silicon precursor, such as dichlorosilane (DCS), is supplied to the chamber at a flow rate of about 54 sccm. As the precursors are fed, the pressure in the reaction chamber rises and can be maintained at a fixed pressure. Under these conditions, stress films with appreciable stress gradients are deposited at pressures below 2.65 torr and compression films with appreciable stress gradients are deposited at pressures greater than 2.65 torr. At 2.65 torr, the film has a very low resistance to residual stress (<20 MPa), a negligible stress gradient and a resistivity of less than 10 O »cm without intentional doping. Thus, the control of the pressure with other fixed parameters resulted in the control of the stress of residual tension, gradient of effort, and electrical resistivity. In another embodiment, the chamber is maintained at a pressure of approximately 2.0 torr. A carbon precursor, such as acetylene (5% in hydrogen), is fed into the chamber at a flow rate of about 180 standard cubic centimeters per minute (sccm). A silicon precursor, such as DCS, is fed to the chamber at a flow rate between 18 and 72 sccm. Under these conditions, stress films with appreciable stress gradients are deposited at DCS flow rates below 35 sccm and compression films with appreciable stress gradients are deposited at DCS flow rates above 35 sccm. At a DCS flow rate of 35 sccm, the film has a stress at very low residual stress (<20 MPa), a negligible stress gradient and a resistivity of less than 10 O »cm without intentional doping. Thus, the control of the flow rate of the metal element precursor, in this case the silicon precursor DCS, with other fixed parameters resulted in the control of the residual stress, stress gradient, and electrical resistivity. The present invention also relates to substrates having a silicon carbide film deposited there where the residual stress is 0 ± 100 MPa and the electrical resistivity reached is less than about 10 O »cm, and to semiconductor, MEMS and NEMS devices that have such substrates. DESCRIPTION OF THE DRAWINGS Figure 1 is a schematic view of an apparatus used within the framework of the present invention; Figure 2 is a schematic view of another embodiment of an apparatus used in the present invention; Figure 3 is a plot of residual stress versus pressure for one embodiment of the present invention; Figure 4 (a) is a SEM micrograph of a silicon carbide cantilever structure from a film manufactured according to the embodiment of the present invention; Figure 4 (b) is another SEM micrograph of a silicon carbide cantilever structure from a film made according to an embodiment of the present invention; Figure 5 is a graph of electrical resistivity vs deposit pressure for one embodiment of the present invention; Figure 6 is a graph of residual stress vs. dichlorosilane flow rate for one embodiment of the present invention; Figure 7 is a SEM micrograph of a silicon carbide cantilever structure from a film made in accordance with one embodiment of the present invention; and Figure 8 is a graph of electrical resistivity versus dichlorosilane flow rate for one embodiment of the present invention. DETAILED DESCRIPTION The present invention relates to the deposit of a film, preferably a silicon carbide (SiC) film, on a substrate with control of various properties, such as residual stress, residual stress gradient, and electrical resistivity. The invention will be described in relation to the deposit of SiC in a silicon substrate, particularly for use with MEMS and NEMS devices. However, the invention is presented only by way of example in said description and is limited only to the claims included herein. A silicon carbide film, especially polycrystalline SiC film, is desirable for use in MEMS and NEMS devices, in accordance with what is described above. The control of key properties such as residual stress stress, residual stress stress gradient, and electrical resistivity, provides SiC films that can be effectively used in MEMS and NEMS devices. Silicon carbide films that have low residual stress, less than about 100 MPa, and preferably less than about 50 MPa, are highly desirable for MEMS and NEMS applications. Conventional deposit techniques have not been able to achieve such low stress values in polycrystalline silicon carbide films to date. In these and other applications, control of stress properties, such as residual stress and residual stress gradient, and electrical resistivity properties may also be desired to achieve other pre-selected values that may not be low stress values.
These films are especially suitable for use in devices operating in rugged environments due to the outstanding mechanical, electrical and chemical properties of SiC. Examples of such applications include pressure sensors for internal combustion and jet engines, wind tunnel and instrumentation sensors, as well as instrumentation and control systems for nuclear power systems. In addition, silicon carbide can be used in device structures commonly made from silicon, such as acceleration sensors, biomedical sensors and actuators, and other applications not typically characterized by rough environments. Silicon carbide can be used as an alternative material to silicon, capitalizing on its superior mechanical and chemical properties as well as comparable electrical properties between SiC and silicon. Applicants have successfully produced thin polycrystalline SiC films with controlled properties in silicon substrate and silicon dioxide where the residual stress stress is at zero or near zero, the electrical resistivity is very low, and the stress strain gradient residual is almost zero. These films were produced by a chemical vapor deposition process at low voltage using dichlorosilane (SÍH2CI2) as the precursor for silicon (Si) and a mixture of 5% acetylene (C2H2) in hydrogen (H2) as the precursor for carbon. Applicants have determined that control of the flow rate of the silicon precursor and / or the pressure at which the deposit occurs allows the production of SiC film having the properties described above. Successful productions of cantilevered structures, bridges, membranes and lateral resonant structures have been contemplated by demonstrating the roadworthiness of the material manufactured in accordance with the present invention in micromachining applications. It is believed that the control of the silicon precursor flow rate and / or the reservoir pressure of the reaction chamber while other parameters are fixed will allow to control the residual stress, the residual stress gradient, and the electrical resistivity of the film. silicon carbide deposited by vapor phase deposition for any silicon precursor and carbon precursor. A person of ordinary skill in the art can determine the appropriate pressure and proper flow regime of silicon precursor to achieve minimum residual stress, minimum residual stress gradient, and minimum electrical resistivity without excessive experiments, and the use of precursors of alternative silicon and carbon does not depart from the spirit and scope of the present invention. Examples of possible alternative silicon precursors include silane, trichlorosilane, and tetrachlorosilane, among others. Possible alternative carbon precursors include gases that contain carbon, methane, propane, ethylene, xylene, butane, carbon tetrabromide and other hydrocarbons. Possible alternative silicon and / or carbon precursors may include single source precursors for both silicon and carbon. Examples of possible single source precursors for both silane and carbon include halosilane, tri-ethylsilane, tetramethylsilane, di-ethyldimethoxysilane, tetramethylcyclotetrasiloxane, bis-trimethylsilylmethane, methyltrichlorosilane, tetraethylsilane, silacyclobutane, disilabutane and any other material suitable for use as a source precursor. individual as determined by a person with ordinary knowledge in the field. If a single-source precursor is used, then either a separate carbon precursor or a separate silicon precursor can be provided to the chamber in order to properly control the carbon to silicon ratio in the reactor. In this case, the flow regime of the single source of silicon or the single source of carbon may vary in order to achieve the correct ratio between carbon gas and silicon gas within the chamber such that the appropriate reaction to the Appropriate regime for depositing the silicon carbide film with the properties described above. Other films based on silicon, such as for example silicon nitride (SÍ3N4), silicon dioxide (Si02), silicon oxynitride (SiOxNy) and silicon carbon nitride (SiCxNy) can also be deposited by the method of the present invention using the appropriate precursors. In the case of silicon nitride, appropriate precursors may include silane (SiH) or DCS for a silicon precursor, and ammonia (NH3) for a nitrogen precursor. The flow rate of the silicon precursor or the deposition pressure can vary from achieving a deposited film having the properties described above. The optimum range of reservoir pressure and flow rate of silicon precursor can be determined without undue experimentation in accordance with this invention. Other ceramic films based on a non-silicon ceramic can also be deposited with the method of the present invention to achieve the properties described above. The use of the term "ceramic" herein is defined as inorganic, non-metallic materials, typically crystalline in nature (but could be amorphous), and are generally compounds formed between metallic and non-metallic elements such as aluminum and oxygen (alumina -AI2O3), calcium and oxygen (calcium-CaO), silicon and oxygen (silica-Si02), and other oxides, nitrides, borides, sulfides and analogous carbides. The flow rate of the non-metallic precursor is held fixed and the deposition pressure or flow rate of the metal precursor could be varied to achieve the properties described above. The optimal deposit pressure range and metal precursor flow rate can be determined without undue experimentation using the procedures provided herein. Other composite semiconductor films based on materials other than silicon can also be deposited with the method of the present invention to achieve the properties described above. These materials include, but are not limited to, GaN, GaAs, InP, and other similar semiconductor materials deposited by chemical vapor deposition. The examples described here use silicon as the substrate material. The method described herein is not limited to the use of silicon and silicon-derived substrates such as silicon carbide and silicon dioxide, but can also be applied to the deposit on any substrate material where the resulting film is subjected to a residual stress. Test Procedure Figures 1 and 2 illustrate the devices used to carry out the following procedures. Before loading silicon substrate, wafers, platelets into a reaction chamber 10, 110 of a low pressure vapor deposition apparatus 12, 112, the wafers 14, 114 were cleaned using a standard RCA cleaning procedure. Silicon wafers 14, 114 of 100 mm in diameter were placed in a conventional hot-wall horizontal cylindrical quartz furnace 16, 116. The reaction chamber or reservoir 10, 110 was 2007 mm long and 225 mm in diameter. The wafers were held in a SiC support 18, 118 supported on a blade 20, 120 fixed on a movable front flange 22, 122 and placed near the center of the reaction chamber 10, 110. In the configuration illustrated in Figure 1 , two small injection tubes 24, one for dichlorosilane and one for acetylene were used in order to introduce these precursor gases into the chamber 10 directly below the support 18. To accommodate these injection tubes 24, the tube of The furnace was of conventional design, consisting of a long quartz cylinder 17 capped at each end with metal flanges 22, 26. The injection pipes 22 were fixed on small ports in each of these flanges 22, 26. The front flange 22 consisted of of a large circular plate that served as the door to the camera. This door was fixed on a cantilever assembly for automatic loading and unloading. The blade 20 having the SiC support 18 was fixed only on the inner surface of the front flange door assembly 22. The rear flange 26 was not mobile and was equipped with an outlet port fastened on the vacuum system 28. Gases precursors were introduced simultaneously into the gas injection tubes 24 through gas inlets 25 and ports both in the front flange 22 and in the rear flange 26. In a second configuration illustrated in Figure 2, the injector tubes 24 were omitted. In this configuration, the furnace tube consisted of a long circular quartz cylinder 117 in cross section at the front end 130 and conical at the rear 132. The front flange assembly 122 was in accordance with what was described above and was set on the front end 130 of the cylinder. The rear part 132 of the cylinder did not need a flange but contained a quartz connector 134 clamped directly on the vacuum system 128. Gases were introduced into the chamber through the gas inlets 125 and ports in the front flange 122. No no tool was included to inject the gases directly under the wafer support 118. Vacuum system 28, 128 consisted of a combination of mechanical pump and root blower (not illustrated) which can reach a base pressure of less than 1 mtorr in a fully loaded system, regardless of the configuration. The pressure was controlled through a pressure control system 36, 136. A throttle valve 37, 137 was provided to help control the pressure. The gas flow rates and pressure control systems 36, 136 were controlled by a conventional oven control computation system (not shown). The temperature in the reaction chamber 10, 110 was controlled through heating coils of resistance type 38, 138. Each charge consisted of 25 Si chips distributed in a regular manner in a single SiC holder of 50 slots. The first and last 5 wafers were designed as bypass wafers to stabilize the gas flow. The wafers in slots 6, 10, 13, 16 and 20 from the loading end were designated for study. EXAMPLE 1 Figure 1 illustrates the low pressure chemical vapor deposition apparatus 12 used for these tests. Deposits were made for two hours at pressure settings of about 0.42 torr to about 5 torr. In several cases, longer times were used to deposit thicker films. Flow rates of DCS and acetylene (5% in hydrogen) were kept constant at about 54 standard cubic centimeters per minute (sccm) and 180 sccm, respectively. The temperature was kept fixed at approximately 900 ° C. The furnace was configured with injector tubes to introduce the acetylene gases and DCS into the reaction chamber. After each deposit, the thickness of the films was optically measured using a Nanospec 4000 AFT spectrophotometer. The residual film stresses were determined by measuring the curvature of the silicon wafers before and after film deposition, using a laser-based curvature measurement system (measurement of Semiconductor Frontier, FSM 120). Silicon carbide films were deposited on both sides of the wafer, and a reactive chemical attack was used in a CHF3 / 02 mixture to remove the film deposited on the back side of the wafers. Figure 3 illustrates the relationship between the reservoir pressure and the residual stress stress of the SiC at 900 ° C resulting from this series of tests. The residual stress changed from approximately 700 MPa (tension) to 456 mtorr to approximately -100 MPa (compression) to 5 torr, with films deposited at approximately 2.65 torr having an almost zero residual stress. Films deposited at pressures of about 2.5 torr to about 5 torr had stress values between about 100 MPA and -100 MPa. The stress value varied little from wafer to wafer in the same experiment, as indicated by Figure 3. Single-layer cantilever beams were fabricated from polycrystalline SiC film of approximately 500 nm thickness manufactured in accordance with this example to characterize the stress gradient at various deposit pressures. The stress gradient is the change in magnitude of residual stress as a function of the thickness of the film. Stress gradients can cause cantilever beams to bend, so beams made from these films with little or no stress gradient remain flat. In the case of MEMS and NEMS devices, a near zero stress gradient is desirable when flat device structures are required. A gradient of residual stress in the structural layers of MEMS / NEMS devices is desirable in applications where curved or deformed structures are required. In such structures, precise control of the residual stress gradient is required. The control of stress gradients requires a precision control of residual stresses. Figure 4 (a) illustrates a cantilever beam 210 manufactured in accordance with the present invention at about 2.65 torr. The beam 210 has a generally flat appearance and little or no bending. Figure 4 (b) illustrates a stressed cantilevered beam 212. manufactured in accordance with the present invention at about 3.75 torr. This beam 212 bends slightly upwards. Figure 5 illustrates the electrical resistivity of films manufactured in accordance with the present invention at various deposit pressures. These data indicate a relationship between the reservoir pressure and the electrical resistivity. The electrically minimal resistivity occurs near the reservoir pressure at which the residual stress and the residual stress gradient are almost zero, specifically about 2.65 torr. The electrical resistivity is less than 10 O »cm at deposition pressures from slightly greater than about 2.0 torr to about 4.5 torr. While these values may seem high compared to other semiconductors (including SiC), these measurements were made apart from polycrystalline films that were not doped before or after the deposit. It is common practice to use doping procedures to reduce the electrical resistivity of semiconductor materials, especially SiC. These findings strongly suggest that doping during the deposition process will be more effective using conditions that favor low effort and low stress gradients. EXAMPLE 2 The same procedure described above was used, except that the low pressure vapor deposition chemical apparatus 112 illustrated in Figure 2 was used (no injectors, single front flange). For this series of tests, the deposition pressure was maintained essentially constant at about 2.0 torr, and the flow rate of the silicon precursor, in this case DCS, was varied between about 18 sccm and about 54 sccm. The flow rate of acetylene (5% in hydrogen) was set at approximately 180 sccm, and the temperature of the reaction chamber was maintained at approximately 900 ° C. As above, the films were characterized by residual stress, residual stress gradient, and electrical resistivity. Figure 6 illustrates the residual stress measured versus the flow regime of the DCS. The residual stress observed decreased according to the flow regime of DCS up to a flow rate of 36 sccm. The residual stress was substantially equal to the flow rate of 54 sccm as it was in the case of a flow rate of 36 sccm. The residual stress as a function of the flow regime, as illustrated in Figure 6, appears to be similar to the residual stress as a function of the deposition pressure, as illustrated in Figure 3. Figure 7 is a SEM micrograph of a second machined micro cantilever beam 214 made in accordance with this example of the present invention with a dichlorosilane flow rate of about 35 sccm. As shown in Figure 6, a dichlorosilane flow rate of about 35 sccm corresponds to a residual stress of less than 50 MPa. Films with low residual stress values, such as the second cantilever beam 214 of Figure 7, have a very low residual stress gradient. The second cantilever beam 214 illustrated in Figure 7 shows substantially no bending. Figure 8 is a graph of electrical resistivity versus DCS flow rate, which illustrates that the electrical resistivity shows a strong relationship with the flow regime of DCS. The minimum value of the electrical resistivity, slightly greater than 3 Ofcm, occurs at 35 sccm and 36 sccm DCS. As in the case of Example 1, the films were not intentionally doped neither during nor after the deposit process. In this example, the electrical resistivity value and the residual stress closest to zero were observed in a DCS flow rate of approximately 35 sccm. While the present invention has been illustrated through the foregoing description of modalities and while the embodiments have been described with certain details, it is not the intention of the applicants to restrict or limit in any way the scope of the invention to these details. Advantages and additional modifications will be evident to people with knowledge in the matter, such as the use of alternative precursors or the deposit of alternative films. Accordingly, the invention in its broader aspects is not limited to the specific details, representative apparatuses and methods or illustrative examples shown and described. Accordingly, one can leave such details without departing from the spirit or scope of the inventive or general concept of the applicants.

Claims (53)

  1. CLAIMS 1. A method for depositing a silicon carbide film on a substrate by the chemical vapor deposition comprising (a) placing at least one substrate in a reaction chamber; (b) supplying silicon precursor to the reaction chamber at a predetermined fixed flow rate; (c) supplying carbon precursor to the reaction chamber at a predetermined fixed flow rate; (d) controlling the stress on the deposited silicon carbide film by controlling the pressure in the reaction chamber.
  2. 2. The method according to claim 1, wherein the substrate is silicon.
  3. 3. The method according to claim 1, wherein the substrate is silicon dioxide or silicon carbide.
  4. 4. The method according to claim 1, wherein the silicon carbide comprises polycrystalline silicon carbide.
  5. The method according to claim 1, wherein the predetermined flow rate of the silicon precursor is about 54 standard cubic centimeters per minute.
  6. 6. The method according to claim 1, wherein the silicon precursor is selected from the group consisting of silane, halosilane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, tetramethylcyclotetrasiloxane, bis-trimethylsilylmethane, methyltrichlorosilane, silane, tetraethylsilane and silacyclobutane.
  7. The method according to claim 6, wherein the halosilane is selected from the group consisting of dichlorosilane, trichlorosilane and tetrachlorosilane.
  8. 8. The method according to claim 7, wherein the silicon precursor is dichlorosilane.
  9. The method according to claim 1, wherein the pressure in the reactor chamber is controlled between about 0.42 torr and about 5 torr.
  10. The method according to claim 9, wherein the pressure in the reaction chamber is controlled at approximately 2.65 torr.
  11. The method according to claim 1, wherein the pressure of the reaction chamber is controlled to minimize the residual stress on the deposited silicon carbide film.
  12. The method according to claim 11, wherein the residual stress on the deposited silicon carbide film is between about 700 MPa and about -100 MPa.
  13. The method according to claim 11, wherein the pressure in the reaction chamber is controlled to approximately 2. "65 torr
  14. 14. The method according to claim 1, further comprising maintaining the chamber of reaction at a fixed temperature
  15. 15. The method according to claim 14, wherein the fixed temperature is about 900 ° C.
  16. 16. The method according to claim 1, wherein the predetermined flow rate of the carbon precursor is about 180 standard cubic centimeters per minute.
  17. The method according to claim 1, wherein the carbon precursor feed comprises the supply of acetylene in hydrogen to the reaction chamber at a flow rate of about 180 standard cubic centimeters per minute.
  18. 18. The method according to claim 1, further comprising controlling the electrical resistivity of silicon carbide.
  19. 19. The method according to claim 18, wherein the electrical resistivity of the deposited silicon carbide is less than about 10 O »cm.
  20. The method according to claim 18, wherein the control of the electrical resistivity comprises the control of the pressure in the reaction chamber.
  21. 21. A method for depositing a silicon carbide film in a substrate by chemical vapor deposition, comprising (a) placing at least one substrate in a reaction chamber; (b) maintaining the reaction chamber at a predetermined pressure; (c) supplying a carbon precursor to the reaction chamber at a predetermined fixed flow rate; (d) supplying a silicon precursor to the reaction chamber at a flow rate; and (e) controlling the flow rate of silicon precursor to control the stress on the deposited silicon carbide film.
  22. 22. The method according to claim 21, wherein the substrate is silicon.
  23. 23. The method according to claim 21, wherein the substrate is silicon dioxide.
  24. 24. The method according to claim 21, wherein the silicon carbide comprises polycrystalline silicon carbide.
  25. 25. The method according to claim 21, wherein the predetermined pressure is about 2.0 torr.
  26. 26. The method according to claim 21, wherein the silicon precursor is selected from the group consisting of silane, -halosilane, trimethylsilane, tetramethylsilane, dimethyldimethoxysilane, tetramethylcyclotetrasiloxane, bis-trimethylsilylmethane, methyltrichlorosilane, silane, tetraethylsilane and silacyclobutane.
  27. 27. The method according to claim 26, wherein the halosilane is selected from the group consisting of dichlorosilane, trichlorosilane and tetrachlorosilane.
  28. 28. The method according to claim 27, wherein the silicon precursor is dichlorosilane.
  29. 29. The method according to claim 21, wherein the flow rate of the silicon precursor is controlled between about 18 standard cubic centimeters per minute and about 54 standard cubic centimeters per minute.
  30. 30. The method according to claim 29, wherein the flow rate of the silicon precursor is controlled to approximately 36 standard cubic centimeters per minute.
  31. 31. The method according to claim 21, wherein the flow rate of the silicon precursor is controlled to minimize the residual stress on the deposited silicon carbide film.
  32. 32. The method according to claim 31, wherein the residual stress on the deposited silicon carbide film is between about 700 MPa and about -100 MPa.
  33. 33. The method according to claim 31, wherein the flow rate of the silicon precursor is controlled to approximately 36 standard cubic centimeters per minute.
  34. 34. The method according to claim 21, further comprising maintaining the reaction chamber at a fixed temperature.
  35. 35. The method according to claim 34, wherein the fixed temperature is at about 900 ° C.
  36. 36. The method according to claim 21, wherein the predetermined flow rate of the carbon precursor is about 180 standard cubic centimeters per minute.
  37. 37. The method according to claim 21, wherein the supply of the carbon precursor comprises the supply of acetylene in hydrogen to the reaction chamber at a flow rate of about 180 standard cubic centimeters per minute.
  38. 38. The method according to claim 21, further comprising controlling the electrical resistivity of silicon carbide.
  39. 39. The method according to claim 38, wherein the electrical resistivity of the deposited silicon carbide is less than about 10 O "cm.
  40. 40. The method according to claim 38, wherein controlling the electrical resistivity comprises controlling the flow rate of the silicon precursor.
  41. 41. A method for controlling the stress of a silicon carbide film during deposition of the silicon carbide film on a substrate to achieve desired stress values, said method comprising: (a) placing at least one substrate in a reaction chamber; (b) supplying silicon precursor to the chamber at a predetermined fixed flow rate; (c) supplying carbon pressure to the chamber at a predetermined fixed flow rate; (d) controlling the pressure in the reaction chamber to achieve the desired stress values.
  42. 42. A method for controlling the stress of a silicon carbon film during deposition of the silicon carbon film in a substrate to achieve desired stress values, said method comprising: (a) placing at least one substrate in a chamber of reaction; (b) maintaining the reaction chamber at a predetermined pressure; (c) supplying carbon precursor to the chamber at a predetermined fixed flow rate; (d) controlling the flow rate of silicon precursor provided to the chamber to achieve the desired stress values.
  43. 43. A substrate having a silicon carbon film deposited there, wherein the silicon carbon film has a residual stress of between about 100 MPa and about -100 MPa.
  44. 44. The substrate according to claim 43, wherein the electrical resistivity of the silicon carbide film is less than about 5 O »cm.
  45. 45. The substrate according to claim 43, wherein the residual stress is about 0 MPa.
  46. 46. The substrate according to claim 43, wherein the substrate is a silicon wafer or a silicon wafer.
  47. 47. A micromechanical, microelectromechanical, nanomechanical, or nanoelectromechanical device comprising a substrate having a silicon carbide film deposited there, the silicon carbide film has a residual stress of between about 100 MPa and about -100 MPa, and a electrical resistivity less than about 5 O »cm.
  48. 48. A micromechanical, microelectromechanical, nanomechanical or nanoelectromechanical device comprising a substrate having a silicon carbide film deposited there by the method of claim 1.
  49. 49. A micromechanical, microelectromechanical, nanomechanical or nanoelectromechanical device comprising a substrate having a film of silicon carbide deposited there through the method of claim 21.
  50. 50. A method for depositing a ceramic film on a substrate to achieve a desired residual stress on the film, said method comprising: (a) placing at least a substrate in a reaction chamber; (b) maintaining the reaction chamber at a predetermined fixed temperature; (c) supplying a metal element precursor to the reaction chamber at a predetermined fixed flow rate; (d) supplying a non-metallic element precursor to the reaction chamber at a predetermined fixed flow rate; and (e) controlling the stress on the deposited silicon carbide film by controlling the pressure in the reaction chamber.
  51. 51. The method according to claim 50, wherein the film is a composite semiconductor film.
  52. 52. A method for depositing a ceramic film on a substrate to achieve a desired residual stress in the film, said method comprising: (a) placing at least one substrate in a reaction chamber; (b) maintaining the reaction chamber at a predetermined fixed temperature; (c) maintaining the reaction chamber at a predetermined fixed pressure; (d) supplying a non-metallic element precursor to the reaction chamber at a predetermined fixed flow rate; (e) supplying a metal element precursor to the reaction chamber at a flow rate; and (f) controlling the flow rate of the metal element precursor to achieve the desired residual stress in the deposited silicon carbide film.
  53. 53. The method according to claim 52, wherein the film is a composite semiconductor film.
MXPA/A/2006/005282A 2003-11-18 2006-05-11 Method for depositing silicon carbide and ceramic films MXPA06005282A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10716006 2003-11-18

Publications (1)

Publication Number Publication Date
MXPA06005282A true MXPA06005282A (en) 2006-10-17

Family

ID=

Similar Documents

Publication Publication Date Title
USRE42887E1 (en) Silicon carbide and other films and method of deposition
Fu et al. Polycrystalline 3C-SiC thin films deposited by dual precursor LPCVD for MEMS applications
US20150329965A1 (en) Methods of low temperature deposition of ceramic thin films
JP6789257B2 (en) Semiconductor device manufacturing methods, substrate processing devices, and programs
Fu et al. Use of deposition pressure to control residual stress in polycrystalline SiC films
US20030087108A1 (en) Dummy wafers and methods for making the same
KR20180042228A (en) Growing epitaxial 3C-SiC on single-crystal silicon
US11390944B2 (en) Film-forming device and method for cleaning same
KR20190043509A (en) Chemical vapor deposition silicon carbide bulk with improved etching characteristics
MXPA06005282A (en) Method for depositing silicon carbide and ceramic films
Zorman et al. Additive processes for semiconductors and dielectric materials
Volinsky et al. Residual stress in CVD-grown 3C-SiC films on Si substrates
Mehregany et al. Composition Comprising Silicon Carbide
WO2021053987A1 (en) Method for producing semiconductor device, substrate processing apparatus, and program
KR101936170B1 (en) Method for fabrication silicon carbide epi wafer
Moll et al. Robust Polycrystalline 3C-Sic-on-Si Heterostructures with Low CTE Mismatch up to 900° C for MEMS
CN106605302B (en) Silicon carbide epitaxial wafer and method for producing same
CN115244655A (en) Control of backside bow compensation deposition from station to station
CN112996950A (en) Film deposition using enhanced diffusion process
US11827999B2 (en) Methods of forming silicon carbide coated base substrates at multiple temperatures
Bryce et al. Simultaneous optimization of the material properties, uniformity and deposition rate of polycrystalline CVD and PECVD Silicon-Germanium layers for MEMS applications
Zorman et al. Deposition techniques for SiC MEMS
Fu et al. Mechanical properties and morphology of polycrystalline 3C-SiC films deposited on Si and SiO2 by LPCVD
KR20240037168A (en) Reaction chamber component, deposition apparatus provided with such component and method of protecting such component
Mehregany et al. Silicon carbide micro-and nanoelectromechanical systems