KR20050085779A - 고품질 저온 실리콘질화물층 형성 방법 및 장치 - Google Patents

고품질 저온 실리콘질화물층 형성 방법 및 장치 Download PDF

Info

Publication number
KR20050085779A
KR20050085779A KR1020057011377A KR20057011377A KR20050085779A KR 20050085779 A KR20050085779 A KR 20050085779A KR 1020057011377 A KR1020057011377 A KR 1020057011377A KR 20057011377 A KR20057011377 A KR 20057011377A KR 20050085779 A KR20050085779 A KR 20050085779A
Authority
KR
South Korea
Prior art keywords
silicon nitride
nitride layer
silicon
source gas
containing source
Prior art date
Application number
KR1020057011377A
Other languages
English (en)
Other versions
KR101022949B1 (ko
Inventor
슈린 왕
에롤 안토니오 씨. 산체스
아이후아 첸 (스티븐)
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/327,467 external-priority patent/US7172792B2/en
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050085779A publication Critical patent/KR20050085779A/ko
Application granted granted Critical
Publication of KR101022949B1 publication Critical patent/KR101022949B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/3003Hydrogenation or deuterisation, e.g. using atomic hydrogen from a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

실리콘질화물층 형성방법이 개시된다. 본 발명에 따라, 실리콘질화물층은 실리콘/질소 함유 소스 가스 또는 실리콘 함유 소스 가스 및 질소 함유 소스 가스를 낮은 증착 온도에서(예를 들어 550℃ 미만) 열적으로 분해시킴으로써 증착된다. 열적으로 분해된 실리콘질화물층은 수소 라디칼로 처리되어 처리된 실리콘질화물층을 형성한다.

Description

고품질 저온 실리콘질화물층 형성 방법 및 장치{A METHOD AND APPARATUS FOR FORMING A HIGH QUALITY LOW TEMPERATURE SILICON NITRIDE LAYER}
본 발명은 얇은 필드층 형성에 관한 것으로 보다 상세하게는 실리콘질화물층 형성 방법 및 장치에 관한 것이다.
현대의 집적회로는 기능회로 속에 집적되는 백만 및 수백만의 트랜지스터로 구성된다. 집적회로의 계산 능력 또는 저장 능력을 보다 증가시키기 위해서, 게이트 길이 및 게이트 산화물 두께와 같은 트랜지스터 피쳐 크기는 보다 축소되어야 한다. 불행히도, 트랜지스터 게이트 길이가 지속적으로 감소됨에 따라, 트랜지스터의 전기적 특성 및 성능은 디바이스에서 도펀트의 열적 재분포로 인해 크게 변형될 수 있다. 이처럼, 디바이스가 점점 축소됨에 따라, 집적회로를 제조하는데 사용되는 개별 프로세스 또는 증착 및 프로세스 온도로부터의 누적 열 입력과 같은 열적 예산(budget) 또한 디바이스의 일정하고 신뢰성 있는 전기적 성능을 보증하도록 감소되어야 한다. 부가적으로, 반도체 디바이스의 추가적인 축소를 위해, 디바이스를 제조하는데 사용되는 박층은 높은 조성(compositional) 및 두께 균일성으로 형성될 수 있어야 한다.
트랜지스터 형성에 사용되는 물질중 하나로는 실리콘질화물이 있다. 얇은 실리콘질화물층은 통상적으로 반도체 제조 프로세스에서 열적 화학적 기상 증착(CVD)에 의해 증착된다. 예를 들어, 실리콘질화물층은 스페이서층, 에칭 스톱, 및 캐패시터 및 층간 유전체로 사용된다. 그러나 단일 웨이퍼 반응기에서 열적 화학적 기상 증착을 이용하여 고품질 실리콘질화물층을 형성하는 현재의 기술은 750℃ 이상의 높은 증착 온도를 요구하고 및/또는 감소된 온도에서 증착 속도를 감소시키며, 트랜지스터 제조를 위한 실리콘질화물의 불충분한 증착을 야기시킬 수 있다.
부가적으로, 실리콘질화물층이 현재의 프로세스 및 전구체를 이용하여 높은 증착 속도로 또는 감소된 온도에서 증착되는 경우, 일반적으로 원하는 층의 품질이 달성되지 못한다. 예를 들어, 실란, 디클로로실란, 디실란, 비스-터르트부틸아미노실란(BTBAS: bis-tertbutylaminosilane) 및 헥사클로로디실란을 포함하는 현재의 실리콘질화물 전구체는 낮은 밀도 및 높은 수소 함량과 같이 원하는 층의 품질을 달성하지 못하는 층을 형성한다. 디실란 및 헥사클로로디실란은 조건에 맞는 증착 속도를 허용하는 약한 Si-Si 결함을 갖지만, 암모니아와 같은 질소 소스를 이용하는 경우 불량 막 품질(낮은 밀도 및 높은 수소 함량, 및 불량 스텝 커버리지 및 디실란에 대한 마이크로로딩)이 유도되거나 또는 (헥사클로로디실란에 대한) 미립자 발생이 거의 제어 불가능해진다.
따라서, 제조가능한 증착 속도로 감소된 증착 온도에서 열적 화학적 기상 증착(CVD)에 의해 고품질 실리콘질화물층을 형성하는 방법이 요구된다.
도 1은 실리콘질화물층을 형성하는 방법의 일실시예를 나타내는 흐름도.
도 2는 실리콘질화물층을 형성하는 방법의 일실시예를 나타내는 흐름도.
도 3a-3c는 실리콘질화물층으로 형성된 측벽 스페이서를 갖는 반도체 디바이스를 형성하는 방법의 일실시예의 개략적 단면도.
도 4는 실리콘질화물층을 형성하는데 사용될 수 있는 장치의 일실시예의 개략적 단면도.
도 5는 실리콘질화물층을 형성하는데 사용될 수 있는 클러스터 툴의 일실시예의 상부도.
본 발명은 실리콘질화물층과 같은 트랜지스터용 유전층을 형성하는 방법에 관한 것이다. 본 발명에 따라, 실리콘질화물층은 실리콘/질소 함유 소스 가스 또는 실리콘 함유 소스 가스와 질소를 함유하는 소스 가스를 감소된 증착 온도에서 열적으로 분해시킴으로써 증착되어 실리콘질화물층을 형성한다. 전구체는 Si-N 결합, Si-Cl 결합 또는 이둘의 결합을 갖는 화합물을 포함한다. 열적으로 증착된 실리콘질화물층이 수소 라디칼에 노출되어 처리된 실리콘질화물층을 형성한다. 하나 이상의 Si-Si, N-N 또는 N=N 결합을 갖는 전구체는 감소된 온도에서 실리콘질화물층을 증착하는데 사용된다.
발명의 일면에서, 550℃ 이하의 온도로 기판을 가열하는 단계, 기판 표면상에 실리콘질화물층을 증착하기 위해 실리콘 및 질소를 함유하는 소스 가스 또는 실리콘 함유 소스 가스 및 질소 함유 소스 가스를 열적으로 분해하는 단계, 및 실리콘질화물층을 수소 라디칼에 노출시키는 단계를 포함하는 기판 처리 방법을 제공한다.
본 발명의 또다른 면에서, 실리콘 및 질소 함유 소스 가스 또는 실리콘 함유 소스 가스와 질소 함유 소스 가스를 550℃ 이하의 온도에서 열적으로 분해시킴으로써 실리콘질화물층을 150Å 이하의 두께로 분당 100Å 이상의 증착 속도로 증착하는 단계 및 증착된 실리콘질화물층을 수소 함유 가스의 플라즈마 분해에 의해 형성된 수소 라디칼에 노출시키는 단계를 포함하는 실리콘질화물층 형성 방법이 제공된다.
본 발명의 또다른 면에서, 실리콘 및 질소 함유 소스 가스 또는 실리콘 함유 소스 가스와 질소 함유 소스 가스를 열적으로 분해함으로써 실리콘층을 증착하는 단계 - 상기 실리콘 함유 소스 또는 실리콘 및 질소 함유 소스 가스는 염소 및 탄소를 포함함 - 및 처리된 실리콘질화물층을 형성하기 위해 수소 함유 가스의 플라즈마 분해에 의해 형성된 수소 라디칼로 증착된 실리콘질화물층을 처리하는 단계를 포함하는 실리콘질화물층 형성 방법이 제공된다.
본 발명의 또 다른 면에서, 실리콘 및 질소 함유 소스 가스 또는 실리콘 함유 소스 가스 및 질소 함유 소스 가스를 열적으로 분해시킴으로써 실리콘질화물층을 증착하는 단계 - 상기 실리콘질화물층이 증착된 후, 실리콘질화물층은 15 원자 퍼센트 이상의 수소 농도 및 10 원자 퍼센트 이상의 탄소 농도를 가짐 - 및 실리콘질화물층이 10 원자 퍼센트 미만의 수소 농도 및 5 원자 퍼센트 미만의 탄소 농도가 될 때까지 수소 라디칼로 증착된 실리콘질화물층을 처리하는 단계를 포함하는 실리콘질화물층 형성 방법이 제공된다.
본 발명의 또 다른 면에서, 기판을 보유하기 위해 챔버내에 위치된 기판 지지체, 기판 지지체 상에 위치되는 기판을 가열하는 히터, 실리콘 소스 가스 및 질소 소스 가스 및/또는 실리콘/질소 소스 가스를 포함하는 처리 가스 혼합물을 챔버속에 제공하는 가스 입구, 수소 함유 가스로부터 수소 라디칼을 발생시키는 수단, 및 동작 제어를 위한 프로세서/제어기를 포함하는 실리콘질화물층 형성 장치가 제공되며, 상기 프로세서/제어기는 550℃ 미만의 온도로 기판 지지체 상에 위치된 기판을 가열하고, 실리콘 함유 소스 가스 및 질소 함유 소스 가스 또는 실리콘 및 질소 함유 소스 가스를 챔버속에 제공하면서 기판상에 실리콘질화물층을 형성하기 위해 기판을 가열하는 다수의 명령, 및 수소 라디칼로 실리콘질화물층을 처리하기 위해 수소 라디칼을 발생시키는 수단을 제어하는 명령을 포함한다.
본 발명은 감소된 증착 온도에서 형성될 수 있는 고품질 실리콘질화물층을 형성에 관한 것이다. 하기의 증착 및 어닐링 장비와 같은 다수의 특정 설명은 본 발명의 이해를 위해 제공된다. 그러나 당업자는 이들 특정 설명 없이도 본 발명을 구현할 수 있다는 것을 알 것이다. 다른 경우로 공지된 반도체 프로세스는 본 발명의 명료성을 위해 특별히 설명하지 않지 않는다.
550℃ 미만의 낮은 증착 온도에서 열적 화학적 기상 증착(CVD)에 의해 고품질 실리콘질화물층을 형성하는 방법 및 장치가 제공된다. 실리콘질화물층을 증착하는 방법의 예가 도 1의 순서도에 도시된다. 도 1의 블록(102)에서 시작하여 본 발명의 제 1단계에 따라, 실리콘 및 질소 함유 소스 가스 또는 실리콘 함유 소스 가스 및 질소 함유 소스 가스를 포함하는 처리 가스 혼합물이 약 500℃ 미만과 같이 550℃ 이하의 증착 온도(기판 온도)에서 챔버내에서 열적으로 분해되어 증착된 실리콘질화물층으로부터 실리콘 종 및 질소 종을 형성한다. 소스 가스 또는 가스들은 550℃ 이하의 낮은 증착 온도(즉, 실리콘 또는 웨이퍼 온도)에서 분당 적어도 50Å 및 바람직하게는 분당 적어도 100Å의 증착 속도로 열적 화학적 기상 증착에 의해 실리콘질화물층이 형성되도록 선택된다.
전구체(Precursors)
낮은 온도에서 충분히 높은 증착 속도로 열적 화학적 기상 증착에 의해 실리콘질화물층을 제조하는데 사용될 수 있는 소스 가스는 비스-터트부틸아미노실란(BTBAS) 및 헥사클로로디실란(HCD 또는 Si2Cl6)과 같은 하나 이상의 Si-N 결합 또는 Si-Cl 결합을 갖는 화합물을 포함한다. 전구체에 Si-Si 결합, N-N 결합, N=N 결합, Si-N 및 Si-Cl 결합, 또는 이들의 조합의 추가 포함이 바람직하다.
Si-Cl 작용기(결합) 및 Si-N 작용기(결합)의 조합은 적절한 증착 속도로 특히 온도를 감소시키기 위해 스텝 커버리지 및 마이크로로딩을 개선시키는 것으로 밝혀졌다. Si-Cl 기(group)의 수는 Si-N 기의 수에 따라 변할 수 있다. Si-Cl 및 S-N 결합은 층의 성질 및 증착 특성에 따라 상이한 작용을 나타내며, Si-N 대 S-Cl결합의 비는 층 성질 및 증착 특성의 균형을 맞추는데 사용될 수 있다.
바람직한 결합 구조를 갖는 화합물의 일반식이 하기에 도시된다:
(Ⅰ) NR2-Si(R'2)-Si(R'2)-NR2, (아미노(디)실란)
(Ⅱ) R3-Si-N=N=N, (실릴 아지드) 또는
(Ⅲ) R'3-Si-NR-NR2, (실릴 히드라진).
상기 일반 구조에서, R 및 R'는 할로겐 그룹, 하나 이상의 이중 결합을 갖는 유기 그룹, 하나 이상의 삼중 결합을 갖는 유기 그룹, 지방족 알킬 그룹, 고리형 알킬 그룹, 방향성 그룹, 유기실리콘 그룹, 알킬아미노 그룹, 또는 N 또는 Si를 함유하는 고리형 그룹 및 이들의 조합으로부터 선택된 하나 이상의 작용기를 포함한다.
적절한 작용기의 예로 클로로(Cl-), 메틸(-CH3), 에틸(-CH2CH3), 이소프로필, 트리메틸실릴, 피롤리딘, 및 이들의 조합을 포함한다. 적적한 화합물의 예는,
1,2-디에틸-테트라키스(디에틸아미노)디실란, (CH2CH3(NCH2CH3)2Si)2
1,2-디클로로-테트라키스(디에틸아미노)디실란, (Cl(NCH2CH3)2Si)2
헥사키스(N-피롤리디노)디실란, ((CH4H9N)3Si)2
1,1,2,2-테트라클로로-비스(디-트리메틸아미노)디실란, (Cl2(NSi(CH3)3))Si)2
1,1,2,2-테트라클로로-비스(디-이소피로필)디실란, (Cl2(N(C3H7)2))Si)2
1,2-디메틸-테트라키스(디에틸아미노)디실란, (CH3(NCH2CH3)2Si)2
트리스(디메틸아미노)실란 아지드, (N(CH3)2)3SiN3
트리메틸아미노 실란 아지드, (CH3)3SiN3
(2,2 디메틸히드라진)디에틸실란, (CH3)2SiH-NH-N(CH3)2
및 이들의 조합을 포함한다.
실리콘 대 실리콘 단일 결합(즉, Si-Si 단일 결합)을 갖는 실리콘 및 질소 소스 가스(전구체) 또는 실리콘 소스 가스(전구체)는 약 550℃ 이하와 같이 감소된 온도에서 분자를 분해 또는 해리시키는 것으로 여겨진다.
질소 소스 가스 또는 실리콘 및 질소 함유층을 증착하는데 사용될 수 있는 전구체는 제한되지 않지만 암모니아(NH3), 히드라진(N2H4), 수소 아지드(NH3), 또는 이들의 조합을 포함한다. 바람직하게 질소 소스 가스는 낮은 온도에서 질소 소스 가스의 분해를 위해 질소-질소 단일 결합(즉, N-N 단일 결합)을 포함한다. 부가적으로, 질소 및 실리콘 함유 소스 가스가 처리 가스 혼합물에 사용되는 경우, 소량의 질소 소스 가스가 층을 증착하는 동안 증착되는 층의 조성에 대해 탄력적인 제어를 위해 가스 혼합물에 포함된다.
적절한 실리콘 소스 가스 또는 실리콘 및 질소 소스 가스 화합물은 층내의 탄소 및 수소 함량을 최소화시킬 수 있다. 이와 관련하여, Si-C 결합, Si-H 결합, 및 N-H 결합은 전구체 결합 조성에서 최소화된다.
엔지니어드 전구체를 사용하는 SiN CVD 방법이 설명된다. 상기 CVD 프로세스는 SiN 층 화학량론을 만들기 위해 일부 최소량의 NH3 첨가를 요구할 수 있다. 이는 층 속의 Si 및 N에 대한 혼합 효율이 상이하기(상이한 카이네틱 배리어) 때문에 상기 전구체로부터 질소의 전달에도 불구하고 요구되는 것이다. 화학량론적인 실리콘질화물층은 온도 및 R 그룹의 선택에 따라 < 10% C 내지> 10% H를 포함할 수 있다. 다른 특허에서 개시한 바와 같이, %H를 낮추기 위한 추가의 후-처리가 사용될 수 있다. 아미노디실란 전구체를 사용하여 산화물 및 옥시질화물을 증착하기 위해, N2O2와 같은 산화제 첨가가 요구된다.
본 발명의 실시예에 따라 단일 웨이퍼 반응기에서 실리콘질화물층을 증착 및 처리하는 방법의 예는 도 2의 흐름도에 도시된다. 제 1단계는 열적 화학적 기상 증착에 의해 웨이퍼 또는 기판상에 실리콘질화물층을 증착하는 것이다. 실리콘질화물 증착 프로세스의 특정예는 순서도(200)의 블록(201)으로 도 2에서 시작되며 순서도(200)의 단계(202-210)를 포함한다. 실리콘질화물층을 증착하는 제 1단계는 챔버속에 웨이퍼 또는 기판을 위치시키는 것이다. 바람직하게 실리콘질화물층은 어플라이드 머티어리얼스사의 Xgen 챔버와 같이, 웨이퍼를 가열하는 저항성 가열 기판 지지체를 갖는 감압 단일 웨이퍼 콜드벽의 챔버에서 형성된다. 적절한 챔버의 예는 도 4에 도시된다.
일단 기판이 챔버속에 위치되면, 실리콘질화물층을 증착하는데 사용되는 증착 압력 및 온도가 달성된다. 본 발명의 일실시예에서 실리콘질화물층의 증착이 달성되는 증착 압력은 약 10 torr 내지 약 350 torr 사이이다. 증착 온도(즉, 웨이퍼와 기판℃의 온도)는 실리콘질화물층을 증착하는데 사용되는 특정 처리 가스(예를 들어, 실리콘 함유 소스 가스 및 질소 함유 소스 가스)에 따라 좌우된다. 웨이퍼 또는 기판의 온도는 500℃ 미만과 같이 550℃ 이하이며, 일반적으로는 증착 프로세스 동안 약 450℃ 내지 약 550℃ 사이이다.
다음 블록(206)에서 시작하여, 처리 가스가 증착 챔버속에 주입된다. 처리 가스 혼합물은 본 명세서에서 설명된 것처럼 적어도 실리콘 함유 소스 가스(즉, 실리콘질화물층의 증착을 위해 실리콘 원자 또는 실리콘 함유 중간 종을 제공하도록 분해될 수 있는 가스) 및 질소 함유 소스 가스(즉, 실리콘질화물층의 증착을 위해 질소 원자 또는 질소 함유 종의 소스를 제공하도록 열적으로 분해될 수 있는 가스)를 포함한다. 선택적으로, 처리 가스 혼합물은 질소 및 실리콘 원자 소스 또는 질소 실리콘질화물층의 형성을 위해 중간 종을 보유하는 질소 및 실리콘의 소스를 단일 분자로부터 제공하는 실리콘/질소 소스 가스를 포함할 수 있다.
실리콘 및 질소 소스 가스가 사용되는 경우, 처리 가스 혼합물은 질소 소스 가스 및/또는 실리콘 소스 가스를 포함하거나 또는 질소 및 실리콘의 부가 가스 없이 실리콘/질소 소스 가스만을 포함할 수 있다. 본 발명의 실시예에서, 질소 소스 가스는 챔버 속에 실리콘 소스 가스를 제공하기 이전에 증착 챔버속에 제공된다. 선택적으로, 헬륨 및 아르곤을 포함하는 희가스와 같은 불활성 캐리어 가스 및 질소(N2)가 반응 챔버속에 주입될 수 있다. 실리콘 소스 가스 및 질소 소스 가스는 1:1 내지 약 1:1000, 예를 들어 약 1:1 내지 약 1:500의 유량비율(flow rate)로 처리 챔버속에 주입될 수 있다.
본 발명의 실시예에서, 실리콘 소스 가스는 헥사클로로디실란(HCD)이다. 실리콘질화물층은 챔버속에 HCD 및 NH3 또는 N2H4를 제공함으로써 형성된다. HCD가 이용되는 경우, HCD가 반응 챔버속에 주입되기 이전에, N2와 같은 불활성 캐리어 가스와 혼합될 수 있다. HCD는 10-200sccm의 비율로 반응 챔버속에 제공되는 반면 질소 소스 가스는 500-5000sccm으로 반응 챔버에 제공된다. 일례에서, HCD 소스 가스 및 질소 소스 가스는 각각 1:1 및 1:1000, 바람직하게는 1:1 및 1:500의 유량비율로 제공된다. 이러한 프로세스는 530℃의 웨이퍼 온도에서는 약 80Å/분의 증착 속도로 480℃의 웨이퍼 온도에서는 약 50Å/분의 증착 속도로 실리콘질화물층을 형성할 수 있다.
적절한 실리콘질화물층은 10-100sccm 유량비율의 1, 2-디클로로테트라키스(디에틸아미노) 디실란 및 200-2000sccm 유량비율의 질소 소스 가스를 이용하여 형성될 수 있다. 적절한 실리콘질화물층은 10-100sccm 유량비율의 1,2-디에틸-테트라키스(디에틸아미노) 디실란 및 200-2000sccm 유량비율의 질소 소스 가스로 증착될 수 있다. 이러한 프로세스는 530℃의 웨이퍼 온도에서는 약 80Å/분의 증착 속도로 480℃의 웨이퍼 온도에서는 약 50Å/분의 증착 속도로 실리콘질화물층을 형성할 수 있다. 하기의 또다른 예는 바람직하게 1,2-디클로로-테트라키스(디에틸아미노) 디실란 전구체를 이용하는 어플라이드 머티어리얼즈 SiNgen과 같은 단일 웨이퍼 저압 열 CVD 장치에서의 처리 파라미터를 개시하며 약 500℃와 같이 450℃ 내지 약 650℃ 사이의 기판 온도, 약 40torr 내지 약 200torr 사이와 같이 약 10 torr 내지 약 300torr 사이의 챔버 압력, 약 50 내지 약 100과 같이 10이상의 NH3 대 실리콘 전구체의 유량비율, 0.5gms와 같이 약 0.2 내지 약 1.0 gms/min 사이의 전구체 유량비율, 및 약 500mils 내지 약 1000mils 사이의 히터와 샤워기헤드 사이의 공간을 포함하며, 이는 60 내지 200Å/분, 예를 들어 약 100Å/분의 증착 속도를 달성할 수 있다.
비교적으로, 하기에서는 바람직하게 1, 2-디클로로-테트라키스(디에틸아미노) 디실란 전구체를 이용하고 약 500℃와 같이 450℃ 내지 약 650℃ 사이의 기판 온도, 약 0.4torr 내지 약 1torr 사이와 같이 약 0.1torr 내지 약 2torr 사이의 챔버 압력, 약 1 내지 약 5와 같이 10 미만의 NH3 대 실리콘 전구체의 유량비율을 포함하는 배치식 퍼니스내의 SiN CVD 프로세스가 설명되며, 실리콘 전구체 유량비율은 5 내지 20Å/분, 예를 들어 약 12Å/분 사이의 증착 속도가 달성될 수 있도록 퍼니스 튜브 체적에 따라 좌우된다.
다음, 순서도(200)의 블록(208)에서 시작하여, 가열된 기판 또는 기판 지지체로부터의 열은 실리콘 및 질소 소스 가스 또는 실리콘 소스 가스 및 질소 소스 가스가 열적으로 분해되게 한다. 실리콘 소스 가스의 열분해는 실리콘 원자 또는 실리콘 함유 중간 종(intermediate species)을 제공한다. 질소 소스 가스의 열분해는 질소 원자 또는 질소 함유 중간 종을 제공한다. 실리콘 및 질소 소스 가스의 열분해는 실리콘 원자 또는 실리콘 중간 종 뿐만 아니라 질소 원자 또는 질소 중간 종을 제공한다. 실리콘 원자 또는 실리콘 함유 중간 종은 질소 원자 또는 질소 함유 중간 종과 반응하여 기판 표면 위에 실리콘질화물층을 증착시킨다. 본 발명에서, 실리콘/질소 함유 소스 가스 또는 실리콘 소스 가스 및 질소 소스 가스는 광자(photon) 강화 또는 플라즈마 강화와 같이, 부가적인 에너지 소스의 보조 없이 기판 또는 기판 지지체로부터의 열과 같은 열 에너지만을 이용하여 열적으로 분해되며, 이는 플라즈마-프리(plasma-free) 증착 프로세스라 칭한다. 본 발명의 실시예에서, 실리콘질화물층은 120Å 미만, 바람직하게는 80Å미만으로 10-150Å 사이의 두께로 증착된다. 더욱 두꺼운 층이 요구된다면, 제 2, 제 3 또는 다른 다수의 증착/수소 라디칼 처리 사이클이 이후 설명되는 바와 같이 두꺼운 층 증착을 위해 사용될 수 있다.
본 발명의 실시예에서, 충분히 두꺼운 실리콘질화물층을 증착한 후, 블록(210)에서 시작하여, 실리콘 소스 가스 및 지로 소스 가스의 흐름이 중단된다. 본 발명의 실시예에서, 실리콘질화물 증착이 완료되면, 기판은 블록(210)을 시작하여 질소 소스 가스로 선택적으로 처리될 수 있다. 질소 소스 가스만이 약 10초 동안 반응 챔버에 주입된다. 증착 단계 마지막에 질소 소스 가스를 이용한 실리콘질화물층 처리는 기판상의 처리되지 않은 실리콘 사이트(site)를 종결시킨다. 이러한 동작은 N/Si 비율을 증가시키며 실리콘질화물층내의 수소(특히 Si-H 결합 형태)를 감소시킨다. 그러나 동작(210)은 본 발명에 따른 바람직한 실리콘질화물층을 달성하는 데 있어 필수적인 것은 아니다.
실리콘질화물층을 증착하기 위해 본 발명에서 이용되는 처리 가스 혼합물은 550℃ 미만 바람직하게는 500℃ 미만의 낮은 증착 온도에서 분당 적어도 50Å 바람직하게는 분당 100Å 이상의 비율로 실리콘질화물층이 열적 화학적 기상 증착에 의해 증착되게 한다.
플라즈마 처리
증착된 실리콘질화물층은 층의 품질을 개선하기 위해 예정된 시간 주기 동안 수소 라디칼로 처리된다. 수소 라디칼은 챔버내에서 인슈트(in-situ)로 또는 원격 디바이스에서 챔버로 전달되어, 암모니아(NH3) 및 수소(H2)와 같이 수소 함유 가스의 플라즈마 분해에 의해 형성될 수 있다. 증착된 실리콘질화물층은 5×1015 원자/㎠ - 1×1017 원자/㎠ 사이의 플럭스에서 수소 라디칼로 처리될 수 있다. 수소 라디칼 처리 동안 기판은 약 450℃ 내지 약 600℃ 사이의 낮은 온도 및 약 100militorr 내지 약 5torr 사이의 챔버 압력에서 가열된다. 충분한 처리는 약 15 내지 약 120초 사이에서 이루어진다.
수소 라디칼 처리를 위해 사용되는 수소 라디칼은 임의의 적절한 방식으로 형성된다. 본 발명의 실시예에서, 수소 라디칼은 충분한 수의 수소 라디칼을 제공하도록 분해될 수 있는 수소 함유 가스의 플라즈마 분해에 의해 형성된다. 수소 라디칼은 고도로 활성화된 중성 원자의 수소, 및 하전된 수소 이온을 포함하는 모든 수소 원자 종을 포함한다. 적절한 수소 소스 가스는 암모니아(NH3) 및 수소 가스(H2)를 포함한다. 본 발명의 실시예에서, 수소 소스 가스는 NH3와 H2의 혼합물을 포함한다. 본 발명의 실시예에서, 수소 처리 가스는 NH3만을 또는 H2만을 포함한다. 부가적으로, 본 발명의 실시예에서, N2, Ar 또는 He와 같은 불활성 가스가 수소 처리 가스와 함께 제공될 수 있다.
수소 함유 가스는 200-2000 watt 사이의 전력에서 마이크로파 또는 무선주파수 소스를 이용하여 수소 라디칼을 제공하도록 적절히 분해될 수 있다. 수소 처리 가스의 플라즈마 분해는 인슈트로 또는 원격 플라즈마를 이용하여 달성될 수 있다. 인슈트 프로세스에서, 플라즈마 및 수소 라디칼은 처리될 실리콘질화물층을 갖는 기판이 위치되는 동일한 챔버에서 발생된다. 적절한 플라즈마 챔버의 예로는 용량성-결합된 PECVD 또는 고밀도 플라즈마 HDP챔버를 포함한다. 원격 플라즈마 프로세스에서, 수소 라디칼 및 플라즈마는 처리될 실리콘질화물층이 위치됨에 따라 챔버와 분리되어 있는 챔버에서 마이크로파로 발생된다. 원격 플라즈마 프로세스에서, 플라즈마 및 수소 라디칼은 제 1 챔버(분해 챔버 또는 캐비티)에서 발생되고 이들은 분해 챔버로부터 도관을 통해 처리된 실리콘질화물층을 갖는 챔버를 포함하는 제 2 챔버로 흐른다. 임의의 적절한 원격 플라즈마 발생기 반응기는 Astex Astron으로 제한되지 않고, 어플라이드 머티리얼즈 원격 플라즈마 질화 RPN 소스, 및 어플라이드 머티리얼즈 어드밴스드 스트립 패시베이션 플러스(ASP) 챔버가 사용될 수 있다.
본 발명의 실시예에서, 수소 라디칼은 암모니아(NH3) 및 수소 가스(H2) 또는 이들의 조합과 같이, 수소 함유 가스의 촉매식 분해 또는 "핫 와이어(hot wire)"에 의해 형성된다. "핫 와이어" 프로세스에서, 텅스텐 필라멘트와 같은 와이어 또는 촉매는 약 1600-1800℃의 고온으로 가열되며 필라멘트 위에 수소 처리 가스는 필라멘트 위로 공급된다. 가열된 필라멘트는 수소 라디칼을 형성하기 위해 수소 처리 가스의 균열(cracking) 또는 분해를 야기시킨다. 다음 수소 라디칼은 필라멘트 아래에 위치된 기판 상에 형성된 실리콘질화물층을 처리한다. 필라멘트는 고온이지만, 기판은 처리 프로세스 동안 600℃ 미만, 바람직하게 550℃ 미만의 낮은 온도로만 가열된다. 본 발명의 또 다른 실시예에서, 유도적으로 발생된 플라즈마는 수소 라디칼을 발생시키기 위해 이용될 수 있다.
수소 라디칼이 천공될 수 있는 간격 때문에, 예를 들어 HCD 막의 실리콘질화물층은 약 100Å으로 전형적으로는 50Å미만으로 제한되며, 실란막, 저온 프로세스에 의해 150Å 이하, 바람직하게는 100Å 이하의 실리콘질화물층이 수소 라디칼 처리 이전에 형성된다. 더욱 두꺼운 실리콘질화물층이 요구되는 경우, 다수의 증착 및 처리 사이클이 이용될 수 있다. 예를 들어, 300Å 실리콘질화물층이 요구된다면, 100Å의 제 1 실리콘질화물층이 증착된 후 실리콘질화물층은 수소 라디칼로 처리된다. 다음, 100Å의 제 2 실리콘질화물층이 처리된 실리콘질화물층 상에 증착되고 제 2 실리콘질화물층은 수소 라디칼로 처리된다. 다음 100Å의 제 3 실리콘질화물층이 제 2 실리콘질화물층 상에 증착되고 수소 라디칼로 처리된다. 이런 방식으로, 임의의 원하는 두께로 고품질의 실리콘질화물층이 형성될 수 있다. 예를 들어, 8초의 수소 라디칼 노출에 의해 형성된 3사이클 HCD의 180Å CVD는 100Å은 처리되고 80Å은 처리되지 않은 3개 층을 나타낸다.
예를 들어, 유기 실리콘 전구체가 사용되는 경우, 예비-수소 라디칼 처리 실리콘 질화물층은 Si-H 형태의 상당 분율(significant fraction)의 15 원자 퍼센트 이상의 수소 농도, 10 원자 퍼센트 이상의 수소 농도를 가질 수 있으며, 염소처리된 실리콘 전구체가 사용되는 경우 1원자 퍼센트 이상의 염소 농도, 1.85 미만의 굴절률 및 완충 산화물 에칭(BOE)과 같은 산화물 에칭을 이용하는 실리콘산화물의 에칭 속도의 2배 이상의 습식 에칭 속도를 제공한다. 이러한 실리콘질화물층은 스페이서 및 인터폴리 유전체와 같은, 반도체 디바이스 제조에서 다양한 분야의 실리콘질화물층에 대해 부적합 것으로 간주될 수도 있다.
처리된 실리콘질화물층은 Si-H 형태의 감소된 분률의 10 원자 퍼센트 미만의 전체 수소 농도, 예를 들어, 5 미만의 원자 퍼센트의 탄소 농도, 예를 들어, 1 원자 퍼센트 미만의 염소 농도, 예를 들어 1.90 이상의 증가된 굴절률 또는 예를 들어 BOE와 같은 산화물 에칭을 이용하는 실리콘산화물과 대략 동일한 (1:1) 에칭 속도의 감소된 에칭 속도를 갖는 것으로 관찰된다.
본 발명의 프로세스는 낮은 증착 온도 및 높은 증착 속도(예를 들어, 50Å/분 이상)에서 열 화학적 기상 증착에 의해 고품질 실리콘질화물층 형성을 가능케 한다. 증착 온도는 디바이스의 열적 예산에 큰 영향을 미치지 않게 또는 내부 도펀트 분포가 변형되지 않도록 충분히 낮기 때문에, 낮은 증착 온도는 실리콘질화물층이 트랜지스터 또는 액티브 디바이스 형성 이후 반도체 회로 제조 프로세스에서 어플리케이션 또는 로케이션에 사용될 수 있게 한다. 실리콘질화물층의 빠른 증착 속도는 단일 웨이퍼 반응기에서 프로세스가 수행될 수 있게 한다.
제조
본 발명에 따른 실리콘질화물층 형성 방법은 실리콘 기판에 위치되는 도펀트 재분포 방지 및/또는 낮은 열적 예산을 요구하는 반도체 디바이스 제조에서 이상적으로 사용된다. 본 발명에 따라 실리콘질화물층의 사용을 위한 일례로 측벽 스페이서 제조가 있다. 이러한 분야에서, 도 3a에 도시된 기판(300)과 같은 기판이 제공된다. 기판(300)은 상부에 게이트 유전층(304)이 형성된 단결정 실리콘 기판 또는 층(302)을 포함한다. 측방으로 대향하는 측벽을 갖는 게이트 전극(306)이 게이트 유전층상에 형성된다. 전형적으로 한 쌍의 소스/드레인 팁 또는 연장 영역(310)이 게이트 전극(306)의 측방 대향 측벽과 정렬되어 실리콘 기판(302)에 형성된다.
다음, 도 3b에 도시된 것처럼, 본 발명에 따라 형성된 저온 실리콘질화물층은 기판(300) 위에 블랭킷(blanket) 증착된다. 실리콘질화물층(312)의 두께는 제조되는 트랜지스터의 물리적 특성에 따라 좌우되며, 65nm 기술을 위해서 디바이스는 적어도 200Å 두께를 갖는다. 본 발명에 따라, 실리콘질화물층은 높은 증착 속도로 낮은 증착 온도를 이용하여 상기 설명된 것처럼 증착된다. 100Å 이하, 바람직하게 50Å 미만의 두께를 갖는 실리콘질화물층이 먼저 형성된다. 실리콘질화물층은 상기 설명된 것처럼 수소 라디칼로 어닐링된다. 다음, 필요하다면, 제 2 실리콘질화물층이 수소 라디칼 처리된 제 1 실리콘질화물층상에 형성된다. 제 2 실리콘질화물층이 상기 설명된 것처럼 수소 라디칼로 처리된다. 다음 제 3 실리콘질화물층이 상기 설명된 것처럼 저온 화학적 기상 증착에 의해 증착된다. 증착된 제 3 실리콘질화물층이 상기 설명된 것처럼 수소 라디칼로 처리된다. 이런 방식으로 전체 원하는 두께를 갖는 실리콘질화물층(312)이 형성될 때까지 프로세스가 계속된다. 일부 실시예에서, 증착된 또는 성장된 실리콘산화물층은 실리콘질화물층 보다 먼저, 형성되어 실리콘질화물층 아래에 놓인다. 실리콘질화물층(312)이 저온 프로세스로 형성되고 저온에서 수소 라디칼로 처리되기 때문에, 소스/드레인 연장부(310)를 형성하는 도펀트는 실리콘질화물 형성 동안 기판(300) 내에서 거의 이동하거나 재분포되지 않는다. 이런 방식으로, 제조된 디바이스의 전기적 특성이 일정해진다.
다음, 도 3c에 도시된 것처럼, 충분히 두꺼운 실리콘질화물층(312)을 형성한 후, 실리콘질화물(312)은 게이트 전극(306)의 측방으로 대향하는 측벽들을 따라 연장되는 측벽 스페이서(314)를 형성하도록 이방성 에칭될 수 있다. 이방성 에칭 프로세스는 소스/드레인 연장부(310) 및 게이트 전극(306)의 상부와 같이 수평 표면으로부터 실리콘질화물층을 제거하면서 게이트 전극(306)의 측벽과 같은 수직 표면상의 실리콘질화물은 남겨둔다. 측벽 스페이서(314)의 형성 이후, 깊은 소스/드레인 영역(316)의 형성 및/또는 소스/드레인 영역 상의 실리사이드(318) 형성과 같이 반도체 디바이스의 부가적인 프로세싱이 이루어질 수 있다. 측벽 스페이서(314)는 깊은 소스/드레인 영역의 오프셋을 허용하며 소스/드레인 영역 상에 형성되는 티타늄 실리사이드 또는 코발트 실리사이드와 같은 실리사이드 및 게이트 전극의 상부가 종래 기술에 공지된 바와 같이 자기 정렬 프로세스로 형성되는 것을 허용한다.
장치
본 발명의 실리콘질화물층은 저압열 화학적 기상 증착 반응기에서 바람직하게 형성된다. 적절한 반응기(400)의 예가 도 4에 도시된다. 본 발명의 실시예에서, 수소 라디칼 처리는 실리콘질화물층을 증착하는데 사용되는 것과 동일한 챔버에서 이루어질 수 있다. 층 증착에 이용되는 동일 챔버내에서 "에즈 증착된(as deposited)" 실리콘질화물층을 수소 라디칼 처리하기 위해서, 챔버에 수소 라디칼 소스를 제공하도록 원격 플라즈마 소스가 저압 화학적 기상 증착 반응기(400)에 결합될 수 있다. 저압 화학적 기상 증착 반응기(400)에 결합되는 원격 플라즈마 발생기 소스(801)의 예가 도 4에 도시된다. 원격 플라즈마 발생기(801)와 열 화학적 기상 증착 반응기(400)의 결합은 본 발명의 처리량을 크게 증가시키며 실리콘질화물층이 실리콘질화물 증착 이후 수소 라디칼로 바로 처리될 수 있게 한다. 부가적으로, 이러한 장치는 200Å 이상의 실리콘질화물층과 같이 두꺼운 실리콘질화물층을 형성하는데 연속적인 증착/처리 사이클이 이용되는 경우 웨이퍼 처리량을 크게 증가시킨다.
도 4는 반응 용기 어셈블리(반응기)(400)를 나타낸다. 도 4는 처리 가스, 전구체 가스, 또는 반응 가스가 웨이퍼 기판(미도시)상에 실리콘 함유층을 형성하도록 열적으로 분해되는 반응 챔버(408)를 한정하는 챔버 바디(406)를 포함하는 반응기(400)를 나타낸다. 챔버 바디(406)는 챔버가 10 내지 350 Torr 사이의 압력을 지탱하는 물질로 구성된다. 일 실시예에서, 챔버 바디(406)는 알루미늄 합금 물질로 구성된다. 챔버 바디(406)는 챔버 바디(406)를 냉각시키기 위해 온도가 제어된 유체가 펌프되는 통로(410)를 포함한다. 온도 제어 유체 통로를 갖춘 반응기(400)는 "콜드-월(cold-wall)" 반응기로 간주된다. 챔버 바디(406) 냉각은 반응 종 및 고온으로 인한 챔버 바디(406)를 형성하는데 사용되는 물질의 부식을 방지한다.
챔버 바디(406)내에는 반응 챔버(408), 챔버 리드(426), 페이스플레이트(또는 샤워헤드)(430), 블로커 플레이트(428), 및 저항성 가열 어셈블리(404)를 포함한다. 저항성 가열 어셈블리(404)는 니켈로 이루어진 히터 튜브(414)의 길이방향으로 연장되는 와이어 리드(412)를 포함한다. 히터 튜브(414)의 단부에는 소결된 AlN으로 구성된 가열 디스크(416)가 제공된다. 가열 디스크(416) 내에는 몰리브덴으로 구성된 하나 이상의 가열 코일(418)이 제공된다. 와이어(412)와 코일(418)은 브레이징에 의해 결합되며 그 안은 전기적으로 전도성이다. 와이어(412)는 AlN 세라믹 슬리브(420)와 전기적으로 절연된다. 코일(418)은 대체로 전기적 저항 및 반응 챔버(408) 가열을 제공한다. 가열 디스크(416) 단부에는 포켓(422)이라 불리는 리세스가 있으며 포켓(422) 내에 웨이퍼(미도시)가 위치된다.
도 4는 리프터 어셈블리(436)가 추가로 고정되어 있는 챔버 바디(408)를 나타낸다. 리프터 어셈블리(436)는 반응 챔버(408) 안팎으로 웨이퍼 기판(미도시)의 이동을 용이하게 한다. 리프터 어셈블리(436)는 스텝퍼 모터일 수 있다. 리프터 어셈블리(436)는 반응 챔버(408) 안팎으로 웨이퍼의 이동이 용이하도록 축(405)을 따라 히터 어셈블리(404)를 위아래로 이동시킨다.
기판 또는 웨이퍼는 예를 들어 로봇형 이송 메커니즘(미도시)에 의해 진입 포트(434)를 통해 반응 챔버(408)에 위치된다. 일 실시예에서, 로봇형 이송 메커니즘은 이송 블레이드에 결합되며 이송 블레이드를 제어한다. 이송 블레이드는 가열 디스크(416)의 포켓(422) 상에 그리고 반응 챔버(408)속에 기판을 장착하기 위해 개구부를 통해 기판을 삽입한다. 기판이 장착됨에 따라, 리프터 어셈블리(436)는 히터 어셈블리(404) 및 가열 디스크(416)를 축(405)을 따라 내부 방향으로 하강시켜 가열 디스크(416)의 표면이 진입 포트(434) 아래에 있게 된다. 가열 디스크(416)가 하강됨에 따라, 기판은 반응 챔버(408)에 위치된다. 일단 기판이 장착되면, 진입 포트(434)는 밀봉되고 리프터 어셈블리(436)는 히터 어셈블리(404) 및 가열 디스크(416)를 페이스플레이트(430)를 향해 보다 위(예를 들어 상향) 방향으로 이동 또는 전진시킨다. 일 실시예에서, 웨이퍼 기판이 페이스플레이트(430)로부터 짧은 거리(예를 들어, 400-900mils)에 있다면 전진은 중단된다.
일 실시예에서, 증착 또는 프로세싱 준비가 되면, 가스 패널(401)에 의해 제어되는 처리 가스 또는 전구체 가스가 반응 챔버속에 주입된다. 블로커 플레이트(428)는 가스 흐름을 허용하는 다수의 홀(미도시)을 갖는다. 처리 가스는 먼저 포트(424)를 통해, 그리고 블로커 플레이트(428), 페이스플레이트(430)를 통해 반응 챔버(408)에 주입된다. 블로커 플레이트(428) 내의 다수의 홀 및 페이스플레이트(430)를 통해 포트(424)로부터 처리 가스가 분포된다. 페이스플레이트(430)는 반응 챔버(408) 속으로 처리 가스를 균일하게 분포시킨다.
챔버로부터 기판은 히터 어셈블리(404)를 예를 들어 아래로(하향) 제거될 수 있다. 가열 어셈블리(404)가 리프터 어셈블리(436)의 작동에 의해 하향 이동함에 따라, 리프트 핀(442)은 콘택 리프트 플레이트(444)와 접촉되어 정지되어 유지되어 결국은 가열 디스크(416)의 상부 표면 위로 연장되어 하강함에 따라 가열 디스크(416)로부터 기판을 분리시킨다. 다음 이송 블레이드가 개구부(434)를 통해 삽입되어 기판과 가열 디스크(416) 사이에 위치된다. 다음 콘택 리프트 플레이트(444)가 하강하여 리프트 핀(442)을 하강시키고 기판이 이송 블레이드 상에 하강되게 한다. 기판은 이송 블레이드에 의해 진입 포트(434)를 통해 제거될 수 있다.
상기 설명된 메커니즘은 순차적 기판에 대해 반복될 수 있다. 적절한 리프터 어셈블리(436)의 상세한 설명은 캘리포니아, 산타클라라의 어플라이드 머터리얼즈사에 양도된 USP 5,772,773호에 개시되어 있다.
또한, 반응기(400)는 반응 챔버(408) 내부의 처리 온도를 모니터하기 위한 온도 표시기(미도시)를 포함한다. 일 실시예에서, 온도 표시기는 열전쌍(thermocouple)일 수 있으며, 이는 바람직하게 가열 디스크(416)의 표면(또는 가열 디스크(416)에 의해 지지되는 기판 표면)에서 온도에 대한 데이터를 제공하도록 위치된다. 반응기(400)에서, 기판 온도는 가열 디스크(416)의 온도보다 20-30℃ 약간 더 차다.
도 4는 반응 챔버(408)가 온도-제어 라이너 또는 절연 라이너(409)로 라이닝되는 것을 나타낸다. 상기 언급된 바와 같이, 챔버 바디(406)는 콜드-월(cold-wall) 챔버 효과를 발생시키기 위해 온도 제어 유체에 대한 통로(410)를 포함한다. 반응 챔버(408) 내부의 반응 온도는 600℃ 이상 높을 수 있다. 반응 챔버(408)에 층을 형성하는데 사용되는 화학작용(chemistry)으로, 반응 챔버(408)의 챔버 바디(406)는 쉽게 부식된다. 따라서, 챔버 바디(406)는 챔버 바디(406)를 냉각시키는 물 또는 다른 냉각 유체와 같은 온도 제어 유체용 통로(410)를 구비하고 있다. 이는 챔버 바디(406)가 쉽게 부식될 수 있게 챔버 바디(406)가 너무 뜨거워지는 것을 방지한다. 이러한 콜드-월 챔버와 관련되는 문제점 중 하나는 챔버의 콜드-월에 인접해 있는 반응 챔버(408) 안쪽 영역이 급격한 온도 강하를 나타내는 경향이 있다는 것이다. 이들 영역에서 급격한 온도 강하는 반응 챔버(408)에 형성된 실리콘을 포함하는 층에 대해 바람직하지 못한 또는 비호의적인 미립자 형성 및 응축을 조장시킨다. 예를 들어, 전형적으로 실리콘질화물(Si3N4)층을 형성하기 위한 증착 공정에서 HCD와 NH3의 반응은 NH4Cl 형성을 야기시킨다. NH4Cl은 형성될 Si3N4 오염을 방지하기 위해 세척이 요구되는 바람직하지 못한 염 부산물이다. 약 150℃ 이하로 온도가 강하되는 경우, NH4Cl와 응축물이 발생된다. 이러한 미립자는 챔버 벽으로부터 떨어져 나갈 수 있다. 떨어져나온 미립자는 웨이퍼 기판상에 미립자 형성을 위한 핵형성 사이트를 형성한다. 일 실시예에서, 반응 챔버(408)는 미립자의 바람직하지 못한 응축을 방지하기 위해 온도-제어 라인(409)으로 라이닝된다.
일 실시예에서, 온도-제어 라이너(409)는 챔버 바디(406)의 벽에 결합되어 온도-제어 라이너(409)는 챔버 바디(406)의 벽을 따라 단지 몇개의 물리적 접촉 포인트만을 갖게 된다(예를 들어, 도 4에 도시된 콘택 포인트(459) 참조). 온도-제어 라이너(409)와 챔버 바디(406) 벽 사이의 물리적 콘택 최소화는 전도성 포인트들을 최소화시킴으로써 챔버 바디(406)에 대한 열 손실을 최소화시킨다.
퍼지 가스(예를 들어 질소)는 원치않는 증착을 방지하기 위해, 증착 동안 반응 챔버 하부에 공급될 수 있다.
또한, 반응기(400)는 압력 조절기 또는 조절기들(미도시)에 결합된다. 압력 조절기들은 반응 챔버(408)의 압력을 설정 및 유지한다. 이러한 압력 조절기는 당업계에 공지되어 있다. 실시예에서 사용될 수 있는 압력 조절기(들)는 약 10 torr 내지 약 350 torr 범위 레벨로 압력을 유지할 수 있어야 한다. 선택적으로, 반응기(400)는 반응 챔버(408)로부터 가스를 펌프시키기 위해 공지되어 있는 가스 펌프-아웃 시스템(미도시)에 결합될 수 있다. (예를 들어, 트로틀 밸브(들)를 포함할 수 있는) 가스 펌프-아웃 시스템은 반응 챔버(408)내 압력을 제어하는데 사용될 수 있다. 반응기(400)는 반응 챔버(408)내의 처리 압력을 모니터하는 센서(미도시)에 결합된다.
일 실시예에서, 제어기 또는 프로세서/제어기(900)는 챔버 바디(406)에 결합되어 챔버 압력을 나타내는 센서로부터의 신호를 수신한다. 또한, 프로세서/제어기(900)는 가스 패널 시스템(401)에 결합되어 질소 소스 가스, 실리콘 소스 가스 및 불활성 및/또는 퍼지 가스의 흐름을 제어한다. 프로세서(900)는 반응 챔버(408)내에서 원하는 압력을 조절 또는 유지하기 위해 압력 조절기 또는 조절기들과 결합되어 동작할 수 있다. 또한, 프로세서/제어기는 가열 디스크의 온도, 및 그 위에 위치되는 기판의 온도를 제어할 수 있다. 프로세서/제어기(900)는 질소 소스 가스 흐름, 실리콘 소스 가스 흐름 및 불활성 가스 흐름 뿐만 아니라 본 발명에 따른 실리콘질화물층을 형성하기 위한 파라미터 세트로 챔버내 압력 및 가열 디스크의 온도를 제어하기 위한 컴퓨터 판독가능 포맷내에 명령을 포함하는 메모리를 포함한다. 예를 들어, 프로세서/제어기(900)의 메모리에는 기판을 550℃ 이하의 온도로 가열하는 명령 및 실리콘 소스 가스, 및 질소 소스 가스 및/또는 실리콘/질소 소스 가스를 챔버(408)속에 제공하면서 기판을 550℃ 이하의 온도로 가열하는 명령 및 챔버(408)내 압력을 10-350torr로 제어하는 명령이 저장된다.
반응기(400) 부품용 물질은 노출된 부품이 본 발명의 고온 프로세싱과 호환될 수 있도록 선택된다. 실리콘 함유층을 형성하기 위한 본 발명의 전구체 또는 반응 종의 열적 분해는 600℃ 이상의 높은 반응 챔버(408) 내부 온도를 수반한다. 반응기(400) 부품용 물질은 이러한 고온을 견딜 수 있는 형태의 거이어야 한다. 일 실시예에서, 챔버 바디(406)는 강성의 양극산화된 알루미늄과 같이 내부식성(corrosion resistant) 금속으로 형성된다. 이러한 형태의 알루미늄은 고가이다. 선택적으로, 챔버 바디(406)는 온도 제어 유체가 통과하는 통로(410)를 포함한다. 온도 제어 유체 통로는 통로(410)가 챔버 바디(406)의 냉각을 유지하기 때문에 챔버 바디(406)를 매우 싼 알루미늄 합금 또는 다른 적절한 금속으로 형성할 수 있게 한다. 언급된 바와 같이, 이는 반응기(400)가 콜드-월 반응기로 불리는 이유중 하나이다. 콜드-월 또는 냉각된 챔버 바디(406)상에 원치않는 응축물을 방지하기 위해, 상기 개시된 온도-제어 라이너(409)는 반응 챔버(408)로부터 방사된 열을 흡수하고 온도-제어 라이너(409)의 온도를 형성되는 층 분야에 따라 적어도 약 150℃ 이상 또는 선택적으로 적어도 약 200℃ 이상을 유지하는 물질로 형성될 수 있다. 일 실시예에서, 온도-제어 라이너(409)는 원치않는 응축물을 방지하기에 충분한 온도로 유지될 필요가 있다.
부가적으로, 부품 물질은 처리 가스 또는 반응 챔버(408) 속에 주입될 수 있는 전구체 또는 세척 화학제품과 같은 다른 화학제품과 호환성이 있어야 한다. 일 실시예에서, 가열 어셈블리(404)의 노출된 표면은 프로세스와 호환성 있는 다양한 물질로 구성될 수 있다. 예를 들어, 본 실시예에서는 부식성 화학제품이 고온에서 인가될 것을 요구한다. 따라서 가열 어셈블리 부품은 이러한 환경을 견딜 수 있어야 한다. 일 실시예에서, 가열 어셈블리 부품은 알루미늄 질화물(AlN)과 같은 세라믹 물질로 이루어진다. 가열 어셈블리(404)의 가열 디스크(416)는 알루미늄 질화물 물질을 포함할 수 있다.
일 실시예에서, 반응 챔버(408)는 N2, He, Ar 또는 이들의 조합과 같은 안정화 가스를 사용하여 안정화된다. 일 실시예에서, 반응 챔버(408)속에 안정화 가스를 방출시키는 가스 패널 시스템(401) 매니폴드가 포함된다. 안정화 가스는 5-6리터의 용량을 갖는 반응기(400)에 대해 1,000 sccm 내지 10,000sccm, 바람직하게는 약 2,000sccm 범위의 유량 비율을 가질 수 있다.
본 발명의 실시예에서, 반응기(400)는 증착 챔버(408)에 수소 라디칼을 발생시키고 제공하는 원격 플라즈마 반응기(801)에 결합된다. 원격 플라즈마 발생기(801)는 마이크로파 소스로 마이크로파를 발생시키는 마그네트론(802)을 포함한다. 마그네트론(802)은 바람직하게 10,000 watt에 이르는 2.5Ghz 마이크로파 에너지를 발생시킬 수 있다. 요구되는 전력량은 챔버(408) 크기에 따라(비례) 좌우된다는 것을 주목하라. 300mm 웨이퍼를 처리하는데 사용되는 어닐링 챔버에 대해서10,000watt의 전력이면 충분하다. 마이크로파 소스는 장치(800)에서 플라즈마를 발생시키는데 사용되지만, 무선주파수(RF)와 같은 다른 에너지 소스가 사용될 수도 있다.
마그네트론(802)은 임피던스 매칭을 제공하는 더미 로드(804) 및 절연체에 결합된다. 더미 로드는 반사 전력을 흡수하여 마그네트론 헤드로 반사 전력이 반사 전력이 향하는 것을 방지한다. 절연체 및 더미 로드(804)는 오토튜너(808)에 마이크로파 에너지를 전송하는 도파관(806)에 의해 결합된다. 오토튜너(808)는 임피던스 매칭 헤드 및 전력 소스로 향하는 마이크로파 에너지의 반사 전력을 감소시키기 위해 임피던스 매칭 스터브로 구동되는 3개의 스텝퍼 모터를 사용하는 개별 검출기 모듈로 구성된다. 오토튜너(808)는 마이크로파 어플리케이터 캐비티(또는 챔버)(810) 중심으로 마이크로파 에너지를 집중시켜 도관(812)에 의해 어플리케이터 캐비티(810)속에 공급된 수소 처리 가스에 의해 에너지가 흡수되게 한다. 오토튜너가 바람직하지만 수동 튜너가 사용될 수도 있다.
어플리케이터(810)는 어플리케이터(810) 안쪽에 위치된 석영 플라즈마 튜브를 통해 하향됨에 따라 수소 처리 가스로부터 플라즈마가 형성되도록 마그네트론(802)으로부터 수신된 마이크로파 에너지를 사용한다. 제한되지는 않지만 수소 라디칼을 발생시키는데 사용되는 수소 처리 가스의 탱크와 같은 소스(814)가 마이크로파 어플리케이터(810)에 결합된다. 부가적으로, 아르곤(Ar), 또는 헬륨(He)과 같은 불활성 가스의 소스가 어플리케이터(810)에 결합될 수 있다. 프리파이어(prefire) 수은 램프가 처리 가스를 부분적으로 이온화시켜 마이크로파 에너지가 플라즈마를 보다 쉽게 점화시키도록 플라즈마 튜브 속에 자외선 광을 방사시키는데 사용될 수 있다.
마그네트론(802)으로부터의 마이크로파 에너지는 기본적으로 이온화된 또는 하전된 수소 원자, 활성화된(반응성) 전기적 중성 수소 원자 및 수소를 함유하는 중간 종의 3가지 성분으로 이루어진 플라즈마로 수소 처리 가스를 변환시키며, 상기 성분들은 모두 본 발명에 따르는 "수소 라디칼"을 구성한다.
어플리케이터(810)는 장치(400)의 리드에 볼트로 고정될 수 있다. 집중된 플라즈마 혼합물은 도관(814)을 통해 챔버(408)로 흘러간다. 수소 라디칼은 어닐링되는 기판이 위치된 챔버(408)로부터 분리된 또는 원격 위치 챔버(810)에서 발생되기 때문에, 수소 라디칼은 "원격적으로 발생된다"라고 할 수 있다.
원격 플라즈마 소스(801)는 프로세서/제어기(900)에 결합될 수 있다. 프로세서/제어기(900)는 컴퓨터 판독가능 포맷으로 메모리에 저장된 명령들을 포함하여, 상기 개시된 수소 라디칼 처리 프로세스를 달성하기 위해 원격 플라즈마 소스(801)의 동작을 제어한다. 예를 들어 명령은 5×1015 원자/㎠ 내지 1×1017 원자/㎠ 의 플럭스와 같이, 실리콘질화물층을 처리하는데 요구되는 원하는 수소 라디칼 플럭스를 달성하도록 수소 처리 가스 및 유량비율을 조절하는 명령 및 가열 디스크 온도(및 웨이퍼의 온도)를 제어하는 명령 및 수소 라디칼 처리 프로세스 동안 챔버(408)내 압력을 제어하는 명령을 포함할 수 있다.
부가적으로, 본 발명은 단일 웨이퍼 장치를 이용하는 것과 관련하여 개시되었지만, 필요하다면 본 발명은 본 발명의 범주를 이탈하지 않고 증착 및 처리 프로세스를 세미-배치(semi-batch) 또는 튜브형 장치에서 수행할 수 있다.
본 발명의 선택적 실시예에서, 저온 실리콘질화물 증착 프로세스는 도 5에 도시된 클러스터 툴(500)과 같은 클러스터 툴에서 수행될 수 있다. 클러스터 툴(500)은 내부에 로봇과 같은 웨이퍼 핸들러(504)를 갖는 시일가능 이송 챔버(502)를 포함한다. 로드락 또는 쌍의 로드 락(506)은 시일가능 도어를 통해 이송 챔버(502)에 결합되어 웨이퍼가 로봇(504)에 의해 클러스터 툴(500) 안팎으로 이동될 수 있다. 시일가능한 도어에 의해 어플라이드 머티리얼즈 Xgen 단일 웨이퍼, 콜드 월, 저항성 히터를 갖는 열적 화학적 기상 증착 반응기와 같은 실리콘질화물 증착 반응기(508)에 이송 챔버(502)가 결합된다. 도 5에 도시된 것처럼 시일가능한 도어에 의해 수소 라디칼 처리 챔버(510)에 이송 챔버(502)가 결합된다. 예를 들어, 수소 라디칼 처리 챔버(510)는 어플라이드 머티리얼즈 어드밴스드 스트립 패시베이션 플러스(ASP) 챔버와 같은 플라즈마 챔버, 어플라이드 머티리얼즈 원격 플라즈마 질화 RPN 챔버와 같은 원격 플라즈마 챔버, 또는 "핫 와이어" 챔버일 수 있다. 전형적으로, 이송 챔버(502)는 감압이 유지되며 N2와 같은 불활성 분위기를 포함한다. 이런 방식으로, 웨이퍼는 산화 분위기 또는 오염물에 웨이퍼를 노출시키지 않고 제 1 챔버(예를 들어, 실리콘질화물 증착 챔버(508))로부터 제 2 챔버(예를 들어, 수소 라디칼 처리 챔버)로 또는 이와 반대로 이송될 수 있다. 상기 개시된 것처럼 클러스터 툴(500)은 실리콘질화물 증착 반응기(500) 뿐만 아니라 상기 개시된 것처럼 실리콘질화물층을 증착하고 수소 라디칼로 실리콘질화물층을 처리하기 위한 수소 라디칼 처리 챔버(510)의 동작을 제어하기 위해 프로세서/제어기(900)를 포함한다.
사용시, 도 3a에 도시된 웨이퍼와 같은 웨이퍼 도는 기판이 로드락(506)으로부터 로봇(504)에 의해 이송 챔버(502)로 이동된다. 웨이퍼는 실리콘질화물 증착 챔버(508)로 이송되고, 이들 사이의 도어는 밀폐되고 낮은 증착 온도 프로세스로 웨이퍼상에 실리콘질화물층이 형성된다. 일단 웨이퍼상에 실리콘질화물층이 형성되면, 웨이퍼는 실리콘질화물 증착 챔버(508)로부터 로봇(504)에 의해 제거되어 수소 라디칼 처리 챔버(510)로 로봇(504)에 의해 전달된다. 수소 라디칼 처리 챔버(510)와 이송 챔버(502) 사이의 도어가 밀폐되고 상기 개시된 것처럼 실리콘질화물층이 수소 라디칼에 노출된다. 보다 두꺼운 실리콘질화물층이 요구된다면, 웨이퍼는 챔버(510)로부터 제거되고 추가적으로 실리콘질화물을 증착하기 위해 실리콘질화물 증착 챔버(508)로 전달된다. 웨이퍼가 다시 한번 실리콘질화물 증착 챔버(508)로부터 제거되고 수소 라디칼 처리 챔버(510)로 다시 전달되어 다시 한번 수소 라디칼 처리된다. 원하는 두께 및 품질의 실리콘질화물층이 얻어질 때까지 증착 챔버(508)와 처리 챔버(510) 사이에서 웨이퍼가 지속적으로 이송될 수 있다. 일단 거의 두꺼운 실리콘질화물층이 형성되면, 웨이퍼는 클러스터 툴(500)로부터 제거된다.
낮은 증착 온도에서 고품질 실리콘질화물층의 증착 및 처리를 위한 방법 및 장치를 개시하였다.

Claims (31)

  1. 550℃ 이하의 온도로 기판을 가열하는 단계;
    상기 기판 표면상에 실리콘질화물층을 증착하기 위해 실리콘 및 질소 함유 소스 가스 또는 실리콘 함유 소스 가스 및 질소 함유 소스 가스를 열적으로 분해시키는 단계; 및
    상기 실리콘질화물층을 수소 라디칼에 노출시키는 단계를 포함하는 기판 처리 방법.
  2. 제 1 항에 있어서, 상기 수소 라디칼은 수소 함유 소스 가스의 플라즈마 분해에 의해 형성되는 것을 특징으로 하는 기판 처리 방법.
  3. 제 3 항에 있어서, 상기 수소 함유 소스 가스는 암모니아(NH3), 수소 가스(H2), 또는 암모니아(NH3)와 수소 가스(H2)의 조합을 포함하는 것을 특징으로 하는 기판 처리 방법.
  4. 제 1 항에 있어서, 상기 실리콘질화물층은 5×1015 원자/㎠ - 1×1017 원자/㎠ 사이의 플럭스에서 수소 라디칼로 처리되는 것을 특징으로 하는 기판 처리 방법.
  5. 제 1 항에 있어서, 상기 실리콘 함유 소스 가스 또는 상기 실리콘/질소 함유 소스 가스는 Si-Si, N=N, N-N 또는 이들의 조합의 그룹에서 선택된 결합을 갖는 화합물을 포함하는 것을 특징으로 하는 기판 처리 방법.
  6. 제 2 항에 있어서, 상기 수소 함유 소스 가스는 질소 가스(N2), 아르곤(Ar) 및 헬륨(He)으로 이루어진 그룹에서 선택된 불활성 가스를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  7. 제 2 항에 있어서, 상기 소스 가스의 플라즈마 분해는 상기 실리콘질화물층이 수소 라디칼로 처리되는 챔버로부터 물리적으로 원격적으로 이루어지는 것을 특징으로 하는 기판 처리 방법.
  8. 제 1 항에 있어서, 상기 실리콘질화물층은 상기 실리콘질화물층을 수소 라디칼에 노출시킨 후에 1.0 원자 퍼센트 미만의 염소 농도를 갖는 것을 특징으로 하는 기판 처리 방법.
  9. 제 1 항에 있어서, 상기 실리콘질화물층은 상기 실리콘질화물층을 수소 라디칼에 노출시킨 후에 5 원자 퍼센트 미만의 탄소 농도를 갖는 것을 특징으로 하는 기판 처리 방법.
  10. 제 1 항에 있어서, 상기 열적 분해 온도는 500℃ 미만인 것을 특징으로 하는 기판 처리 방법.
  11. 제 1 항에 있어서, 상기 실리콘질화물층은 분당 100Å 이상의 증착 속도로 형성되는 것을 특징으로 하는 기판 처리 방법.
  12. 제 1 항에 있어서, 상기 실리콘 함유 소스 가스 또는 상기 실리콘/질소 함유 소스 가스는 단일 결합에 의해 제 2 실리콘 원자와 결합되는 제 1 실리콘 원자 및 상기 제 1 실리콘 원자 및 제 2 실리콘 원자에 결합되는 적어도 염소(Cl) 원자 또는 질소(N) 원자를 포함하는 것을 특징으로 하는 기판 처리 방법.
  13. 제 12 항에 있어서, 상기 질소 원자에 유기 그룹이 결합되는 것을 특징으로 하는 기판 처리 방법.
  14. 제 5 항에 있어서, 상기 실리콘 함유 소스 가스 또는 실리콘/질소 함유 소스 가스는 R2N-Si(R'2)-Si(R'2)-NR2, R3-Si-N3, R'3-Si-NR-NR2의 구조를 갖는 그룹에서 선택된 화합물을 포함하며, y는 하나 이상이며, R 및 R'는 할로겐 그룹, 하나 이상의 이중 결합을 갖는 유기 그룹, 하나 이상의 삼중 결합을 갖는 유기 그룹, 지방족 알킬 그룹, 고리형 알킬 그룹, 방향성 그룹, 유기실리콘 그룹, 알킬아미노 그룹, 또는 N 또는 Si를 함유하는 고리형 그룹 및 이들의 조합으로부터 선택된 하나 이상의 작용기를 포함하는 것을 특징으로 하는 기판 처리 방법.
  15. 제 14 항에 있어서, 상기 R 및 R'는 클로로, 메틸, 에틸, 이소프로필, 트리메틸실릴 및 이들의 조합의 그룹에서 선택되는 하나 이상의 작용기를 포함하는 것을 특징으로 하는 기판 처리 방법.
  16. 제 15 항에 있어서, 상기 실리콘 함유 소스 가스 또는 상기 실리콘/질소 함유 소스 가스는 1,2-디에틸-테트라키스(디에틸아미노)디실란, 1,2-디클로로-테트라키스(디에틸아미노)디실란, 헥사키스(N-피롤리디노)디실란, 1,1,2,2-테트라클로로-비스(디-트리메틸아미노)디실란, 1,1,2,2-테트라클로로-비스(디-이소피로필)디실란, 1,2-디메틸-테트라키스(디에틸아미노)디실란, 트리스(디메틸아미노)실란 아지드, 트리메틸아미노 실란 아지드, (2,2 디메틸히드라진)디에틸실란 및 이들의 조합을 포함하는 것을 특징으로 하는 기판 처리 방법.
  17. 제 1 항에 있어서,
    실리콘 및 질소 함유 소스 가스 또는 실리콘 함유 소스 가스 및 질소 함유 소스 가스를 열적으로 분해시킴으로써 상기 처리된 실리콘질화물층상에 제 2 실리콘질화물층을 증착하는 단계; 및
    상기 제 2 실리콘질화물층을 수소 라디칼에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  18. 제 17 항에 있어서,
    실리콘 및 질소 함유 소스 가스 또는 실리콘 함유 소스 가스 및 질소 함유 소스 가스를 열적으로 분해시킴으로써 상기 처리된 제 2 실리콘질화물층상에 제 3 실리콘질화물층을 증착하는 단계; 및
    상기 제 3 실리콘질화물층을 수소 라디칼에 노출시키는 단계를 더 포함하는 것을 특징으로 하는 기판 처리 방법.
  19. 제 1 항에 있어서, 상기 증착된 실리콘질화물층은 450℃ 내지 600℃ 사이의 온도에서 수소 라디칼로 처리되는 것을 특징으로 하는 기판 처리 방법.
  20. 제 1 항에 있어서, 상기 실리콘질화물층을 처리한 후에, 상기 실리콘질화물층은 10 원자 퍼센트 미만의 수소 농도를 가지며, 상기 실리콘질화물층을 수소 라디칼에 노출시킨 후에 1.90 이상의 굴절률을 가지며 완충 산화물 에칭, 또는 이들의 조합을 이용하는 실리콘산화물의 에칭 속도와 대략 동일한 에칭 속도를 갖는 것을 특징으로 하는 기판 처리 방법.
  21. 실리콘 및 질소 함유 소스 가스 또는 실리콘 함유 소스 가스 및 질소 함유 소스 가스를 열적으로 분해시킴으로써 550℃ 미만의 온도에서 분당 100Å 이상의 증착 속도로 150Å 미만 두께의 실리콘질화물층을 증착하는 단계; 및
    수소 함유 가스의 플라즈마 분해에 의해 형성된 수소 라디칼에 상기 증착된 실리콘질화물층을 노출시키는 단계를 포함하는 실리콘질화물층 형성 방법.
  22. 제 21 항에 있어서, 상기 실리콘 함유 소스 가스 또는 상기 실리콘/질소 함유 소스 가스는 유기-디- 또는 모노-실란 또는 유기아미노-디 또는 모노-실란으로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 실리콘질화물층 형성 방법.
  23. 제 21 항에 있어서, 상기 수소 함유 소스 가스는 암모니아(NH3), 수소 가스(H2), 또는 암모니아(NH3)와 수소 가스(H2)의 조합을 포함하는 것을 특징으로 하는 실리콘질화물 형성 방법.
  24. 제 21 항에 있어서, 상기 증착된 실리콘질화물층은 5×1015 원자/㎠ - 1×1017 원자/㎠ 사이의 플럭스에서 수소 라디칼로 처리되는 것을 특징으로 하는 실리콘질화물층 형성 방법.
  25. 제 21 항에 있어서, 상기 증착된 실리콘질화물층은 수소 라디칼로 처리되고, 상기 처리된 실리콘질화물층은 10% 미만의 수소 농도를 가지며 상기 증착된 실리콘질화물층이 수소 라디칼에 노출된 후에 실질적으로 감소된 Si-H 결합을 갖는 것을 특징으로 하는 실리콘질화물층 형성 방법.
  26. 제 21 항에 있어서, 상기 플라즈마 분해는 상기 실리콘질화물층이 수소 라디칼로 처리되는 챔버로부터 원격적으로 이루어지는 것을 특징으로 하는 실리콘질화물층 형성 방법.
  27. 제 21 항에 있어서, 상기 실리콘질화물층은 상기 실리콘질화물층이 증착되는 동일한 챔버내에서 처리되는 것을 특징으로 하는 실리콘질화물 형성 방법.
  28. 실리콘 및 질소 함유 소스 가스 또는 실리콘 함유 소스 가스 및 질소 함유 소스 가스를 열적으로 분해시킴으로써 실리콘질화물층을 증착하는 단계 - 상기 실리콘 함유 소스 또는 실리콘 및 질소 함유 소스 가스는 염소 및 탄소를 포함함 - ; 및
    수소 함유 가스의 플라즈마 분해에 의해 형성된 수소 라디칼로 상기 증착된 실리콘질화물층을 처리하여 처리된 실리콘질화물층을 형성하는 단계를 포함하는 실리콘 질화물층 형성 방법.
  29. 실리콘 및 질소 함유 소스 가스 또는 실리콘 함유 소스 가스 및 질소 함유 소스 가스를 열적으로 분해시킴으로써 실리콘질화물층을 증착하는 단계 - 상기 실리콘 질화물층을 증착한 후에, 상기 실리콘질화물층은 15 원자 퍼센트 이상의 수소 농도 및 10 원자 퍼센트 이상의 탄소 농도를 가짐 - ; 및
    상기 실리콘질화물층이 10 원자 퍼센트 미만의 수소 농도 및 5원자 퍼센트 미만의 탄소 농도가 될 때까지 상기 증착된 실리콘질화물층을 수소 라디칼로 처리하는 단계를 포함하는 실리콘질화물층 형성 방법.
  30. 제 29 항에 있어서, 상기 실리콘질화물층은 상기 증착된 실리콘질화물층이 수소 라디칼에 노출된 이후 1.0 원자 퍼센트 미만의 염소 농도를 갖는 것을 특징으로 하는 실리콘질화물층 형성 방법.
  31. 실리콘질화물층 형성 장치로서,
    챔버내에 위치되는 기판 보유용 기판 지지체;
    상기 기판 지지체 상에 위치되는 기판을 가열하는 히터;
    실리콘 소스 가스 및 질소 소스 가스 및/또는 실리콘/질소 소스 가스를 포함하는 처리 가스 혼합물을 상기 챔버속에 제공하는 가스 입구;
    수소 함유 가스로부터 수소 라디칼을 발생시키는 수단; 및
    상기 장치의 동작을 제어하는 프로세서/제어기를 포함하며,
    상기 프로세서/제어기는 550℃ 미만의 온도로 상기 기판 지지체 상에 위치된 기판을 가열하고, 상기 기판상에 실리콘질화물층을 형성하기 위해 상기 기판을 가열하면서 실리콘 함유 소스 가스 및 질소 함유 소스 가스 또는 실리콘 및 질소 함유 소스 가스를 상기 챔버속에 제공하고, 상기 실리콘질화물층을 수소 라디칼로 처리하기 위해 수소 라디칼을 발생시키는 수단을 제어하는 다수의 명령들을 포함하는 메모리를 가지는 실리콘질화물층 형성 장치.
KR1020057011377A 2002-12-20 2003-12-19 고품질 저온 실리콘질화물층 형성 방법 및 장치 KR101022949B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US43581302P 2002-12-20 2002-12-20
US10/327,467 US7172792B2 (en) 2002-12-20 2002-12-20 Method for forming a high quality low temperature silicon nitride film
US60/435,813 2002-12-20
US10/327,467 2002-12-20

Publications (2)

Publication Number Publication Date
KR20050085779A true KR20050085779A (ko) 2005-08-29
KR101022949B1 KR101022949B1 (ko) 2011-03-16

Family

ID=32684696

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057011377A KR101022949B1 (ko) 2002-12-20 2003-12-19 고품질 저온 실리콘질화물층 형성 방법 및 장치

Country Status (5)

Country Link
EP (1) EP1584100A2 (ko)
JP (1) JP2006511087A (ko)
KR (1) KR101022949B1 (ko)
AU (1) AU2003303136A1 (ko)
WO (1) WO2004057653A2 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101223724B1 (ko) * 2010-10-25 2013-01-17 삼성디스플레이 주식회사 전자소자용 보호막 및 그 제조 방법
KR20140114047A (ko) * 2012-01-18 2014-09-25 어플라이드 머티어리얼스, 인코포레이티드 컨포멀 실리콘 탄소 질화물 및 실리콘 질화물 막들의 저온 플라즈마 강화 화학 기상 증착
KR20190096449A (ko) * 2017-01-13 2019-08-19 어플라이드 머티어리얼스, 인코포레이티드 저온 실리콘 나이트라이드 필름들을 위한 방법들 및 장치
KR20220026136A (ko) * 2020-08-25 2022-03-04 주식회사 한화 수소 라디칼을 이용한 기판 처리장치 및 수소 라디칼을 이용한 기판 처리방법
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP2007012788A (ja) * 2005-06-29 2007-01-18 Elpida Memory Inc 半導体装置の製造方法
ATE437978T1 (de) * 2006-04-03 2009-08-15 L Air Liquide Soc Anon A Direc Verfahren zur abscheidung von siliciumnitridfilmen und/oder siliciumoxidnitridfilmen mittels cvd
JP2008235636A (ja) * 2007-03-22 2008-10-02 Elpida Memory Inc 半導体装置の製造方法及び半導体装置
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
KR102140719B1 (ko) * 2012-03-09 2020-08-03 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 디스플레이 디바이스를 위한 배리어 물질
TWI753794B (zh) 2016-03-23 2022-01-21 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 形成含矽膜之組成物及其製法與用途
US20180363133A1 (en) * 2017-06-16 2018-12-20 Applied Materials, Inc. Method and Apparatus for Void Free SiN Gapfill
SG11202006604RA (en) * 2018-01-26 2020-08-28 Applied Materials Inc Treatment methods for silicon nitride thin films

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6251264A (ja) * 1985-08-30 1987-03-05 Hitachi Ltd 薄膜トランジスタの製造方法
US4857140A (en) * 1987-07-16 1989-08-15 Texas Instruments Incorporated Method for etching silicon nitride
JPH04365379A (ja) * 1991-06-13 1992-12-17 Fuji Electric Co Ltd 薄膜トランジスタの製造方法
JPH0613329A (ja) * 1992-06-25 1994-01-21 Canon Inc 半導体装置及び半導体製造装置及び製造方法
US5273920A (en) * 1992-09-02 1993-12-28 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the gate dielectric/semiconductor layer interface
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
JP3348509B2 (ja) * 1994-03-30 2002-11-20 ソニー株式会社 絶縁膜の成膜方法
US6083852A (en) * 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
JPH10261658A (ja) * 1997-03-17 1998-09-29 Toyota Motor Corp 半導体装置の製造方法
JP2001258139A (ja) * 2000-03-09 2001-09-21 Mitsubishi Electric Corp 電気所の引留鉄構

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101223724B1 (ko) * 2010-10-25 2013-01-17 삼성디스플레이 주식회사 전자소자용 보호막 및 그 제조 방법
US8487413B2 (en) 2010-10-25 2013-07-16 Samsung Display Co., Ltd. Passivation film for electronic device and method of manufacturing the same
KR20140114047A (ko) * 2012-01-18 2014-09-25 어플라이드 머티어리얼스, 인코포레이티드 컨포멀 실리콘 탄소 질화물 및 실리콘 질화물 막들의 저온 플라즈마 강화 화학 기상 증착
KR20190096449A (ko) * 2017-01-13 2019-08-19 어플라이드 머티어리얼스, 인코포레이티드 저온 실리콘 나이트라이드 필름들을 위한 방법들 및 장치
US11017997B2 (en) 2017-01-13 2021-05-25 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
KR20220026136A (ko) * 2020-08-25 2022-03-04 주식회사 한화 수소 라디칼을 이용한 기판 처리장치 및 수소 라디칼을 이용한 기판 처리방법
US11705312B2 (en) 2020-12-26 2023-07-18 Applied Materials, Inc. Vertically adjustable plasma source

Also Published As

Publication number Publication date
WO2004057653A3 (en) 2004-08-12
JP2006511087A (ja) 2006-03-30
AU2003303136A1 (en) 2004-07-14
WO2004057653A2 (en) 2004-07-08
EP1584100A2 (en) 2005-10-12
KR101022949B1 (ko) 2011-03-16
AU2003303136A8 (en) 2004-07-14

Similar Documents

Publication Publication Date Title
KR101020116B1 (ko) 고품질 저온 실리콘질화물막 형성 방법 및 장치
JP4658963B2 (ja) 高品質低温窒化シリコン層を形成する方法及び装置
TWI520216B (zh) 以氨與三氟化氮蝕刻氧化物
KR101022949B1 (ko) 고품질 저온 실리콘질화물층 형성 방법 및 장치
KR101002445B1 (ko) 단일 웨이퍼 저압 화학 기상 증착을 이용한 실리콘 산화물 및 옥시나이트라이드 증착 방법
CN101572232B (zh) 形成高质量的低温氮化硅层的方法
KR100313091B1 (ko) 반도체장치의 TaON 게이트절연막 형성방법
JP5455622B2 (ja) 電界効果トランジスタのゲート誘電体の製造方法
EP1122774A1 (en) Plasma treatment of a titanium nitride film formed by chemical vapor deposition
JP2011508433A (ja) 自然酸化物の成長を低減するためのプラズマクリーンプロセスによるパッシベーション層の形成
US20050255711A1 (en) Method for forming underlying insulation film
JP2000311893A (ja) 原子ガスから材料層を形成する方法と装置
JP2803556B2 (ja) バリアメタル層の形成方法
KR100431306B1 (ko) 알루미늄산화막과 이트륨질산화막의 이중막으로 이루어진게이트산화막을 이용한 반도체 소자의 게이트 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140227

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee