KR20040101540A - 관측 시스템 - Google Patents

관측 시스템 Download PDF

Info

Publication number
KR20040101540A
KR20040101540A KR10-2004-7016803A KR20047016803A KR20040101540A KR 20040101540 A KR20040101540 A KR 20040101540A KR 20047016803 A KR20047016803 A KR 20047016803A KR 20040101540 A KR20040101540 A KR 20040101540A
Authority
KR
South Korea
Prior art keywords
camera
robot
plate
image
coupled
Prior art date
Application number
KR10-2004-7016803A
Other languages
English (en)
Other versions
KR101011076B1 (ko
Inventor
이라지 새디기
제프리 씨. 허드젠스
마이클 알. 라이스
게리 이. 와이카
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040101540A publication Critical patent/KR20040101540A/ko
Application granted granted Critical
Publication of KR101011076B1 publication Critical patent/KR101011076B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Robotics (AREA)
  • Manipulator (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

처리 시스템 내에서 이미지를 관찰하는 방법 및 장치가 제공된다. 일 실시예에서, 장치는 카메라를 갖는 플레이트, 전송기 및 그와 결합된 배터리를 포함한다. 플레이트는 기판 전달 로봇에 의해 반도체 처리 시스템 부근으로 전달되어 시스템내의 이미지를 시스템으로부터 원격적으로 관찰하게 한다. 관찰된 이미지는 시스템 검사 및 로봇 위치의 교정 특히 사용을 위해 이용될 수 있다.

Description

관측 시스템{VISION SYSTEM}
자동 처리 시스템에서의 로봇의 사용이 점점 대중화되고 있다. 로봇은 흔히 반복적인 작업을 일반적으로 노동을 이용하여 달성할 수 없는 정도로 정확하고 효율적으로 수행할 수 있다. 더욱이, 로봇은 움직이는 컴포넌트들이나 민감한 환경에 근접하여 노동의 이용이 바람직하지 않은 위치에 사용될 수 있다.
이는 기판이 잘못 배치되거나 위치를 벗어나게 되어 비용적으로 손해를 입고 또는/그리고 예기치 않게 시스템이 보수되는 반도체 처리 시스템에서 특히 중요하다. 오정렬된 기판은 흔히 손상되거나, 다른 기판이나 장비를 손상시키고, 또는 오정렬로 인해 불완전하게 처리되며, 폐기될 수도 있다. 예를 들어, 반도체 처리 시스템의 로봇의 엔드 이펙터 상에 배치된 기판은 로봇에 고정된 기판의 이동시 오정렬된 기판에 접촉하게 될 수도 있다. 기판이 서로 접촉되면, 기판 중 하나 또는 둘 다 손상될 수도 있다. 더욱이, 기판 중 하나 또는 둘 다 제거되면, 시스템은추가 처리가 일어나기 전에 기판의 제거를 위해 중지되어야 한다. 제거된 기판의 복구가 진공에서 작동하는 시스템의 내부에 액세스할 것을 요구하면, 영향받는 챔버 내의 진공 환경의 정화 및 복구를 위해 몇 시간의 제조 시간이 손실된다.
로봇에 의해 이동되는 기판의 정확한 배치를 보장하기 위해, 교정 절차의 일부로서 통상적으로 로봇의 엔드 이펙터의 바람직한 또는 미리 결정된 위치에 대한 기준점 또는 좌표가 로봇 제어기의 메모리에 입력된다. 일반적으로 기준 좌표의 취득은 통상적으로 수동 또는 자동 시퀀스를 통해 엔드 이펙터를 미리 결정된 위치로 조깅(jogging)하는 것을 포함한다. 엔드 이펙터 위치를 수동으로 관찰함으로써, 또는 엔드 이펙터(또는 로봇의 다른 컴포넌트)가 제한 스위치 등의 센서를 트리거하게 함으로써, 로봇의 엔드 이펙터가 미리 결정된 위치에 도달한 것이 확인될 수 있다. 이 시퀀스는 통상적으로 시스템 전역에서의 로봇의 이동 범위 내의 각각의 임계 위치에 대한 모든 기준 좌표가 설정(즉, 로봇의 또는 로봇 제어기의 메모리에 입력)될 때까지 반복된다. 기준 좌표들이 설정되면, 로봇은 기준 좌표로 되돌아감으로써 엔드 이펙터를 임계 위치로 정확하고 정밀하게 이동시킬 수 있다.
많은 반도체 처리 시스템에서, 로봇의 엔드 이펙터의 조깅 및 엔드 이펙터의 기준 좌표 도달 확인은 수동으로 이루어진다. 조작자는 처리 시스템 내에서 대상 또는 타겟에 대한 엔드 이펙터의 위치를 관찰하여 엔드 이펙터의 위치를 시각적으로 추정해야 한다. 이 작업을 수행할 때 엔드 이펙터를 적절히 관찰하기 위해, 처리 시스템은 통상적으로 주위 환경에 개방된다. 이는 상해를 입히거나 시스템 손상이 일어날 수도 있는 로봇의 이동 범위에 노출된 위치에 조작자를 바람직하지 않게 배치한다. 따라서, 조작자에게 가해질 수 있는 상해를 방지하기 위해, 처리 시스템은 로봇이 조작자, 손상을 입힐 수도 있는 제품, 공구나 조작자와 부주의하게 접촉하게 되지 않도록 정상적으로 중지된다. 시스템이 주위 환경에 노출되면, 처리 전에 정화 절차가 행해져야 한다. 또한, 시스템을 작동 압력으로 되돌리기 위해 오랜 펌프-다운이 행해져야 한다. 시스템이 중지되는 기간에, 웨이퍼는 처리되지 않고 상당한 제조 시간이 손실된다. 이는 모두 재교정이 필요한 어디든지 반복되어야 하는 제조 용량을 바람직하지 않게 손실시킨다.
따라서, 대상의 위치를 측정하기 위한 개선된 방법 및 교정이 필요하다.
본 출원은 2002년 4월 19일자 제출된 미국 특허출원 10/126,493호로부터 우선권을 주장하며, 이로써 상기 출원은 본 발명에 완전히 참조된다.
본 발명의 실시예들은 일반적으로 관측 시스템, 처리 시스템을 검사하는 방법 및 처리 시스템 내의 대상의 위치를 측정하는 방법에 관한 것이다.
상기에 간단히 요약한 본 발명에 대해 첨부 도면에 도시된 실시예를 참조로 보다 구체적으로 설명한다. 그러나, 첨부 도면은 본 발명의 통상적인 실시예만을 도시하는 것이므로, 본 발명의 범위를 한정하는 것으로 간주되어서는 안 되며, 본 발명에 대해 다른 동등하게 유효한 실시예들이 인정될 수 있다.
도 1은 클러스터 툴 및 관측 시스템의 간소화된 평면도를 나타낸다.
도 2A는 로봇의 엔드 이펙터(end effector)에 위치한 카메라 어셈블리의 일 실시예에 대한 전면도이다.
도 2B는 로봇의 엔드 이펙터 상에 배치된 카메라 어셈블리의 선택 실시예에대한 전면도이다.
도 2C는 엔드 이펙터의 일 실시예에 대한 상부도이다.
도 3A-B는 도킹 스테이션의 일 실시예에 대한 상부 및 단면도이다.
도 4는 도 1의 관측(vision) 시스템의 한가지 모드의 동작에 대한 흐름도이다.
도 5는 도 1의 관측 시스템의 또 다른 모드의 동작에 대한 흐름도이다.
도 6은 도 1의 관측 시스템의 또 다른 모드의 동작에 대한 흐름도이다.
도 7은 관측 시스템의 또 다른 실시예이다.
도 8은 짐벌(gimbal) 시스템을 갖는 카메라 어셈블리의 일 실시예에 대한 평면도이다.
도 9는 도 8의 9--9 라인을 따라 절취한 짐벌 시스템의 단면도이다.
도 10은 도 8의 10--10 라인을 따라 절취한 짐벌 시스템의 단면도이다.
도 11은 카메라 어셈블리의 또 다른 실시예에 대한 단면도이다.
본 발명의 한 형태는 일반적으로 반도체 처리 시스템 내의 이미지를 관찰하기 위한 장치를 제공한다. 일 실시예에서, 장치는 카메라를 구비한 플레이트, 전송기 및 상기 전송기에 결합된 배터리를 포함한다. 플레이트는 기판 이송 로봇에 의해 반도체 처리 시스템 주위로 이송됨으로써 시스템 내의 이미지가 시스템으로부터 멀리에서 관찰될 수 있게 한다. 관찰된 이미지는 여러 용도 중에서도 시스템 검사 및 로봇 위치 교정에 사용될 수 있다.
본 발명의 다른 형태에서는 반도체 처리 시스템용 관측 시스템이 제공된다. 일 실시예에서, 관측 시스템은 반도체 처리 시스템에 사용되며 엔드 이펙터를 갖는 적어도 하나의 로봇을 포함한다. 로봇에는 카메라가 배치되고 카메라에 전송기가 결합된다. 카메라에 의해 전송되는 이미지는 수신기에 의해 수신된다. 선택적으로, 이미지는 모니터에 디스플레이될 수도 있다.
본 발명의 다른 형태에서는 반도체 처리 시스템의 내부 관측을 위한 방법이 제공된다. 일 실시예에서, 반도체 처리 시스템의 내부 관측을 위한 방법은 반도체 처리 시스템에 배치된 로봇을 이용하여 카메라를 이동시키고, 카메라에 의해 포착된 이미지를 전송하고, 포착된 이미지를 원격적으로 수신하는 것을 포함한다.
다른 실시예에서 반도체 처리 시스템의 내부 관측을 위한 방법은 반도체 처리 시스템에 배치된 로봇을 이용하여 카메라를 이동시키고, 카메라에 의해 포착된 이미지를 전송하고, 상기 포착된 이미지를 원격적으로 수신하여 상기 포착된 이미지를 해석하는 것을 포함한다.
다른 실시예에서 반도체 처리 시스템에 배치된 로봇의 기준 좌표를 구하는 방법은 로봇에 카메라를 배치하고, 카메라로 타겟을 관측하여, 상기 타겟의 이미지와 미리 정의된 위치간의 상대적 거리를 측정하는 것을 포함한다.
이해를 돕기 위해서 공통적인 도면을 지칭하는 동일한 부재에 대해서는 가능한 동일한 참조번호를 사용하였다.
본 발명은 일반적으로 반도체 처리 시스템 및 관련 장비 내에 이미지를 포착하기 위한 관측 시스템을 제공한다. 이미지는 로봇의 엔드 이펙터의 위치를 측정(calibrate)하고 시스템을 검사(inspect)하는데 사용될 수 있다. 본 발명은 반도체 처리 시스템 또는 클러스터 툴 내에서 로봇의 엔드 이펙터의 위치를 결정하는것과 관련하여 하기에서 예시적으로 설명된다. 그러나, 본 발명은 대기(ambient) (주변(surrounding)) 환경에 시스템을 개방할 필요없이 반도체 처리 시스템 내에서 다양한 검사 및/또는 측정(calibrate) 기능을 수행하는데 사용될 수 있다는 것을 알 수 있다. 더욱이, 본 발명은 이동식 카메라에 의해 얻어진 이미지가 요구되는 화학 기계적 폴리싱 시스템, 전기화학적 증착 및 폴리싱 시스템과 같은 기타 반도체 처리 시스템 구조에 사용된다.
도 1은 처리 시스템(190) 내에서 이미지를 포착하는데 사용될 수 있는 관측 시스템(150)을 포함하는 예시적인 처리 시스템(190)에 대한 일 실시예를 도시한다. 일반적으로 관측 시스템(150)은 카메라 어셈블리(100) 및 카메라 어셈블리(100)에 의해 보여지는 이미지들을 처리 및/또는 디스플레이하기 위한 콘트롤러(140)를 포함한다. 카메라 어셈블리(100)는 시스템(190)의 하나 이상의 기판 전달(transfer) 로봇에 의해 시스템(190) 둘레로 이송(transport)하기에 적합하게 되어 있다. 따라서, 카메라 어셈블리(100)에 의해 콘트롤러(140)에 공급되는 이미지들은 시스템(190)의 내부를 주변 환경에 노출시킬 필요없이 측정(calibrate) 목적 및/또는 시각적인 챔버 검사를 위해 로봇의 위치를 결정하는데 사용될 수 있다. 또한 카메라 어셈블리(100)에 의해 얻어진 이미지들은 기타 목적들을 위해 사용될 수 있다.
도 1에 도시된 예시적인 처리 시스템(190)은 일반적으로 다수의 처리 챔버(192)가 결합된 중앙 전달 챔버(194)를 포함한다. 처리 챔버(192)들은 반드시 이들에 제한되지 않지만 무엇보다 화학 기상 증착 챔버, 원자층 증착 챔버, 물리 기상 증착 챔버, 방향결정(orientation) 챔버, 가스배출(degas) 챔버, 사전-세정 챔버, 에칭 챔버 및 열 처리 챔버를 포함하는 반도체 처리와 관련한 임의의 타입의 처리 챔버일 수 있다. 이러한 처리 챔버의 예는 캘리포니아, 산타 클라라에 위치한 어플라이드 머티어리얼스 인코포레이티드 사로부터 이용할 수 있으며, 또한 어플라이드 머티어리얼스 인코포레이션 사로부터 이용할 수 있는 예컨대 처리 플랫폼의 PRODUCER®, ENDURA®, CENTRA®계열의 전달 챔버와 함께 사용될 수 있다.
포트(188)는 처리 챔버(192)로부터 기판 (및 카메라 어셈블리(100))를 진입 및 배출시킬 수 있도록 각각의 처리 챔버(192)와 전달 챔버(194) 사이에서 한정된다. 포트(188)는 (단순화하기 위해 도 1에서 생략된) 슬릿 밸브에 의해 선택적으로 밀봉된다. 엔드 이펙터(198)를 갖는 전달 로봇(196)은 주변 처리 챔버(192)로 기판 (및 카메라 어셈블리(100))의 이동을 용이하게 하도록 전달 챔버(104) 내 중앙에 배치된다. 이용될 수 있는 전달 로봇의 일 예는 어플라이드 머티어리얼스 인코퍼레이티드 사로부터 이용가능한 VHP®로봇이다. 다른 로봇들이 사용될 수도 있다.
하나 이상의 로드락 챔버(184)는 전달 챔버(104)와 팩토리(factory) 인터페이스(180) 사이에 결합된다. 두 개의 로드락 챔버(184)들은 도 1에 도시된 실시예에 도시되어 있다. 로드락 챔버(184)는 전달 챔버(194)의 진공 분위기와 팩토리 인터페이스(180)의 실제 주위 환경 사이에서 기판 이동을 용이하게 한다. 이용될 수 있는 로드락 챔버의 일 예는 2001년 7월 7일자로 리브킨(Rivkin) 등에게 부여된 미국특허 제6,270,582호에 개시되어 있으며, 상기 특허 전체는 본 명세서에서 참조로 포함된다.
팩토리 인터페이스(180)는 인터페이스 로봇(182)을 포함하며 기판 저장 카세트(174)를 수용하기에 적합한 다수의 베이(bay)(178)를 포함한다. 각각의 카세트(174)는 내부에 다수의 기판(174)을 저장하도록 구성되어 있다. 일반적으로 팩토리 인터페이스(180)는 주위 압력에 또는 그 부근에서 유지된다. 일 실시예에서, 여과된(filtered) 공기가 팩토리 인터페이스 내 입자의 오염을 최소화하고 이에 따른 기판 청결도를 위해 공급된다. 본 발명의 장점을 취하기에 적합한 팩토리 인터페이스의 일 예는 1998년 9월 28일자 출원된 크뢰커(Kroeker)의 미국특허출원 제09/161,970호에 개시되어 있으며, 상기 특허출원 전체는 본 명세서에서 참조로 포함된다.
일반적으로 인터페이스 로봇(182)은 상기 설명한 전달 로봇(196)과 유사하다. 인터페이스 로봇(182)은 전달 로봇(196)의 엔드 이펙터(198)와 유사한 엔드 이펙터를 포함하고 이에 따라 동일한 참조 번호가 부여되었다. 인터페이스 로봇(182)은 카세트(176)와 로드락 챔버(184) 사이에서 기판을 이동시키기에 적합하게 되어 있다.
도킹(docking) 스테이션(172)은 팩토리 인터페이스(180) 내에 배치될 수 있다. 도킹 스테이션(172)은 카메라 어셈블리(100)가 카세트(174) 또는 기타 액세스 포트를 통해 시스템(190) 내로 도입될 필요없이 측정(calibrate), 재측정(re-calibrate) 또는 검사 처리(procedure)가 이용될 수 있도록 시스템(190) 내에 카메라 어셈블리(100)를 위한 저장 영역을 제공한다. 선택적으로, 도킹 스테이션(172)은 시스템(190) 내 다른 위치에 위치할 수 있다. 또 다른 실시예에서, 카메라 어셈블리(100)는 시스템(190) 내로 도입되거나 제거될 수 있도록 카세트(174) 내에 저장될 수 있다. 선택적으로, 카메라 어셈블리(100)는 사용되지 않을 때 시스템(190)으로부터 제거될 수 있다. 도킹 스테이션(172)의 일 실시예가 도 3A-3B를 참조하여 하기에서 설명된다.
카메라 어셈블리(100)가 로봇(196,182)에 의해 이동되기에 적합하게 되어 있기 때문에, 엔드 이펙터(198)의 위치 측정(calibration)은 처리 시스템(190) 내 임의의 위치에서 얻어질 수 있다. 예를들어, 카메라 어셈블리(100)는 내부에 기판의 정확하고 반복적인 배치를 보장하기 위하여 처리 챔버(192), 전달 챔버(194) 또는 로드 록 챔버(184)중 임의의 하나에서 전달 로버트의 위치를 캘리브레이트하기 위하여 사용될 수 있다. 카메라 어셈블리(100)는 기판 저장 카세트들(176), 로드 록 챔버(184) 또는 도킹 스테이션(176)중 임의의 하나에서 팩토리 인터페이스 로버트(182)의 엔드 이펙터(198) 위치를 캘리브레이트하기 위하여 사용될 수 있다. 기판의 정확한 배치는 기판 오정렬로 인한 기판 및 설비에 대한 손상을 줄이면서 처리 반복성을 향상시킨다. 게다가, 카메라 어셈블리(100)의 이동성은 처리 시스템(190) 내부의 캘리브레이션 및 가시적 검사가 전달 및 처리 챔버들(194, 192)내의 진공 손실, 또는 신체의 손상 위험없이 이루어지게 한다. 게다가, 검사/캘리브레이션이 수행되는 동안 처리가 계속될 수 있기 때문에, 생산성이 향상된다.
카메라 어셈블리(100)는 일반적으로 카메라(104), 전원장치(138), 및 위치 플레이트(106)상에 배치된 전송기(156)를 포함한다. 카메라 어셈블리(100)는 시스템(190)내의 다양한 슬릿 밸브들 및 포트들을 통하여 이동이 이루어질 수 있는 높이를 가져야 하고, 엔드 이펙터 위에 배치될때 로보트(196)의 엔드 이펙터(198)가 과도하게 처지지 않도록 기판과 유사한 무게를 가져야 한다.
위치 플레이트(106)는 통상적으로 알루미늄, 스테인레스 스틸, 플라스틱 또는 다른 단단한 재료로 구성된다. 카메라 어셈블리(100)가 약 350 도 이상의 온도에서 화학 기상 증착을 수행하는 처리 챔버(192)내에서 고온에 노출되는 실시예들에서, 위치 플레이트(106)는 바람직하게 작은 열팽창 계수를 가진 비도전성 재료로 구성된다. 위치 플레이트(106)는 전달 로보트(196)의 엔드 이펙터(198)상에서 카메라(104)를 지지하도록 구성된다.
위치 플레이트(106)는 전달 동안 로보트로부터 제거되기 쉽지 않게 엔드 이펙터(198)상 카메라(104)를 지지하기에 충분한 임의의 모양 또는 구조일 수 있다. 일실시에에서, 위치 플레이트(106)의 주변부의 적어도 일부는 통상적인 기판을 모사하도록(즉, 실질적으로 동일하도록) 구성된 반경을 가진다. 예를 들어, 위치 플레이트(106)는 300mm, 200mm 또는 100mm 기판의 크기를 모사하도록 약 150mm, 약 100mm 또는 약 50mm 반경을 가진 주변부의 적어도 일부를 포함할 수 있다. 위치 플레이트(106)의 다른 구성은 다각형 편평한 판넬들을 포함하는 다른 표준적, 통상적 또는 습관적 크기의 기판을 모사할 수 있다.
카메라(104)는 처리 시스템(190)내의 이미지들을 포착하기 위해 제공된다. 카메라(104)는 단일 또는 비디오 이미지들을 제공한다. 일실시예에서, 카메라는 뉴저지주 배링콘시에 위치하는 Edmund Industrial Optics 사에서 판매되는 흑백 보드 장착 카메라이다.
전원장치(138)는 일반적으로 전력을 카메라(104) 및 전송기(156)에 제공한다. 전원장치(138)는 설비 전력 같이 분리되거나 배터리 같이 카메라 어셈블리(100)상에 자체 포함될 수 있다.
일 실시예에서, 전원장치(138)는 진공 환경에서 사용하기에 적당한 배터리이다. 바람직하게, 전원장치(138)는 약 200 도 이상의 온도에서 단속적으로 사용하기에 적당하다. 하나의 전원장치(138)는 SouthWest Electronics Energy Corporation 사에서 판매되는 배터리 모델 번호 3S1P이다.
전송기(156)는 카메라(104)에 의해 관찰된 이미지를 가리키는 신호를 생성한다. 전송기(156)는 로보트들을 통하여 진행하는 제어 와이어를 통해 또는 방송 신호(즉, 무선 신호)를 통해 제어기에 신호를 제공할 수 있다. 사용될 수 있는 하나의 전송기는 Supercircuits 사에서 판매되는 MVT-10이다.
제어기(140)는 전송기(156)로부터 카메라(104)에 관찰된 이미지를 수신하기 위하여 제공된다. 제어기(140)는 중앙 처리 유니트(CPU)(144), 지지 회로들(146) 및 메모리(142)를 포함한다. CPU(144)는 다양한 챔버들 및 서브처리기들을 제어하기 위하여 개별 세팅으로 사용될 수 있는 임의의 형태의 컴퓨터 처리기중 하나일 수 있다. 메모리(142)는 CPU(144)에 결합된다. 메모리(142), 또는 컴퓨터 판독 가능 매체는 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크, 하드 디스크 또는 임의의 다른 형태의 로컬 또는 원격 디지털 저장기 같은 쉽게 이용할 수 있는 하나 이상의 메모리일 수 있다. 지지 회로들(146)은 종래 방식으로 처리기를 지원하기 위하여 CPU(144)에 결합된다. 이들 회로들은 캐시, 전력 공급기들, 클럭 회로들, 입력/출력 회로, 서브시스템들 등을 포함한다.
수신기(154)는 제어기(140)에 전송기(156)에 의해 생성된 신호의 전달을 용이하게 하도록 제어기(140)에 결합된다. 사용될 수 있는 하나의 수신기는 Supercircuits사에서 판매되는 MVR-10이다. 선택적으로, 모니터(148)는 카메라(104)로부터 이미지들을 관찰하기 위해 제어기(140)에 결합될 수 있다.
도 2A는 위치 플레이트(106) 아래에 배치된 엔드 이펙터(198)에 의해 지지되는 카메라 어셈블리(100)의 평면도를 도시한다. 도 2A에 도시된 바와같이, 위치 플레이트(106)는 로버트의 엔드 이펙터(198)와 위치 플레이트(106) 사이의 배치 정밀도를 향상시키기 위하여 로버트의 엔드 이펙터(198)에 형성된 각각의 홀(204)과 인터페이스하는 하나 이상의 배치 핀들(202)을 포함한다. 핀들(202)은 플레이트(106)의 대향 제 2 측면(208)이 전송기(156)를 지지하는 동안 엔드 이펙터(198)와 마주하는 위치 플레이트(106)의 제 1 측면(206)에서 연장한다.
위치 플레이트(106)는 부가적으로 엔드 이펙터(198)를 통하여 형성된 대응 개구부(22)와 정렬되게 배치된 개구부(210)를 포함한다. 개구부(210)는 카메라(104)와 대향하는 플레이트(106)의 제 1 측면(206)상 물체를 용이하게 관찰하게 하는 플레이트(106)의 투명 부분 또는 플레이트(106)에 형성된 홀일 수 있다. 도 2A에 도시된 실시예에서, 개구부(210)는 카메라(104)의 렌즈(216)들이 위치 플레이트(106)를 통하여 제 1 측면(206) 아래 위치로 연장되게 한다. 렌즈들(216)은 카메라(104)에 의해 관찰될 물체가 포커스되는 필드 깊이(218)를 가지도록 선택된다. 일실시예에서, 렌즈들(216)은 4.7mm 초점 깊이 렌즈들을 가지며, 또한 EdmundIndustrial Optics사에서 판매된다.
도 2B에 도시된 카메라 어셈블리(100)의 다른 실시예에서, 개구부(210)는 아크릴산, 석영 또는 폴리카보네이트 같은 투명 재료의 윈도우(212)로 충전된다. 선택적으로, 전체 위치 플레이트(106)는 투명 재료로 구성될 수 있다.
카메라(104)는 윈도우(212)상에 렌즈들(216)을 배치하기 위하여 위치 플레이트(1060의 제 2 측면(208)상에 배치된다. 이런 위치에서, 카메라(104)는 시스템(190)내의 엔드 이펙터(198) 위치를 가리키는 이미지를 얻기 위하여 개구부(210)/윈도우(212)를 통하여 도 1에 도시된 기판 지지부(186) 같은 물체를 관찰할 수 있다. 선택적으로, 카메라(104)는 위치 플레이트(106)의 제 2 측면(208)상 이미지들을 관찰하기 위하여 대향 방향으로 마주하는 위치 플레이트(106)에 장착될 수 있어서, 처리 시스템(190)의 상부 영역들이 다양한 챔버 뚜껑들을 제거하지 않고 검사될 수 있다.
윈도우(212)는 하나의 인디시아(indicia)(214)를 선택적으로 포함할 수 있다. 인디시아(214)는 카메라(104)로부터 개구부(210) 및 윈도우(212)를 통하여 얻어진 이미지들에 대한 기준 또는 "조준용 십자선"을 제공한다. 인디시아(214)는 기준 포인트의 윤곽을 형성하도록 원형, 십자형 또는 다른 적당한 마크일 수 있다. 인디시아(214)는 이미지가 비교될 수 있는 기준을 제공하기 위하여 사용될 수 있다. 인디시아(214)가 개구부에 사용되는 실시에들에서, 렌즈들(216)은 인디시아(214)를 포함하는 필드 깊이(218)를 제공하기 위하여 선택되어야 한다.
도 2a를 다시 참조하면, 전원(138)에 의해 전력 공급되는 광(220)은 플레이트(106)의 제 1 측면(206) 아래의 대상들을 조명하도록 로케이팅 플레이트(106)와 결합될 수 있다. 광(220)은 개구부(210) 아래의 대상 또는 표면을 조명하기 위해 광(220)에 의해 빔이 발생되도록 하는 개구부(210)의 측면에 통상 위치된다. 일 실시예에서, 광(220)은 로케이팅 플레이트(106)에서 홀(224)을 통해 연장하는 발광 다이오드이다(도 2c에서 인용된 엔드 이펙터(198)의 일 실시예의 상면도에서 도시된 것처럼). 광(220)은 엔드 이펙터(198) 외부에 배치되거나 엔드 이펙터(198)에 형성되는 홀(226)을 통과하고 엔드 이펙터(198) 아래에서 연장하도록 구성될 수 있다.
스위치(140)는 카메라 어셈블리(100)가 활성화되도록하는 로케이팅 플레이트(106)에 결합된다. 스위치(140)는 수동식 온/오프 스위치이거나, 컨트롤러나 다른 수단에 의해 자동으로 스위치될 수 있다. 일 실시예에서, 스위치(140)는 근접 센서, 광학 센서, 리미트 스위치, 또는 엔드 이펙터(198)가 카메라 어셈블리(100) 아래에 배치될 때 로케이팅 플레이트(106)의 제 1 측면(206)에 근접하거나 제 1 측면(206)에 대해 엔드 이펙터(198)의 존재를 감지하는 다른 센서/스위치이다. 이것은 카메라 어셈블리(100)가 로봇의 엔드 이펙터(198)에 의해 지지될 때 스위치(140)가 광(220), 카메라(104) 및 송신기(156)를 활성화시켜서, 베터리 전력을 소비할 수 있도록 한다.
도 3a-도 3b는 전원(138)의 베터리 수명을 연장하도록 적용되는 도킹 스테이션(172)의 일 실시예를 도시한다. 도킹 스테이션(172)은 사용되지 않는 동안 카메라 어셈블리(100)를 지지하도록 적용되고, 일반적으로 크레들(cradle: 302) 및 충전 메커니즘(320)을 포함한다. 크레들(302)은 그 상부에 카메라 어셈블리(100)를 지지하도록 구성된다. 크레들(302) 형상은 로케이팅 플레이트(106)에 대해 선택된 구성에 크게 의존하기 때문에, 크레들(302)은 인터페이스 로봇(182)의 엔드 이펙터(198)가 배치되도록 하고 이로부터 카메라 어셈블리(100)를 회수하도록 하면서, 카메라 어셈블리(100)를 고정적으로 유지하는 임의의 개수의 변형예들로 구성될 수 있다.
일 실시예에서, 크레들(302)은 알루미늄, 스테인레스 스틸 또는 폴리머와 같은 경질 물질로 제조되고, 장착부(304) 및 장착부(304)로부터 컨틸레버식으로 연장하는 지지부(306)를 포함한다. 장착부(304)는 다수의 패스너들(308)에 의해 팩토리 인터페이스(180)에 결합된다.
지지부(306)는 사용되지 않을 때 카메라 어셈블리(100)를 지지하도록 이격된 장착부(304)로부터 연장되는 제 1 암(310) 및 제 2 암(312)을 포함한다. 암들(310, 312)은 로봇(182)의 엔드 이펙터(198)가 이들 사이를 통과하도록 이격되어, 엔드 이펙터(198)가 크레들(302)을 접촉하지 않고 지지부(306)의 암들(310, 312)로부터 카메라 어셈블리(100)를 배치하고 회수하도록 할 수 있다.
각각의 암(310, 312)은 한쌍의 지지대(314)들을 포함한다. 각각의 지지대(314)는 카메라 어셈블리(100)를 지지하기 위한 시트(seat: 316), 및 시트(316)상에 카메라 어셈블리(100)를 유지하도록 카메라 어셈블리(100)를 차단하기 위한 립(lip: 318)을 포함한다.
선택적으로, 크레들(302)은 충전 메커니즘(320)을 포함할 수 있다. 충전 메커니즘(320)은 사용시 크레들(302)상에 수용되고 카메라 어셈블리(100)의 전원(138)을 재충전하도록 적용된다. 일 실시예에서, 충전 메커니즘(320)은 시스템(190)에 외부적으로 배치되는 충전기(324)에 결합되는 한쌍의 접촉핀(322)들을 포함한다. 접촉핀(322)들은 엑추에이터(326)에 의해 장착부(304)로부터 연장되는 탭(328)에 결합된다.
근접 센서 또는 리미트 스위치와 같은 센서(330)는 카메라 어셈블리(100)의 존재를 검출하도록 크레들(302)에 결합된다. 카메라 어셈블리(100)가 검출될 때, 엑추에이터(326)는 카메라 어셈블리(100)상에 배치되는 한쌍의 전도성 접촉 패드(332)들과 접촉되는 접촉핀(322)들을 움직인다. 접촉 패드(332)들은 전원(138)의 각 폴(poll)들에 결합되므로, 사용시 전원(138)를 재충전시키도록 접촉 핀(322)들을 통해 충전기(324)에 전원(138)을 전기적으로 결합시킬 수 있다. 전원(138)이 완전히 충전되거나, 컨트롤러(140)가 로봇(182)이 카메라 어셈블리(100)를 회수하도록 하면, 로봇(182)이 핀(322)들에 접촉되지 않고 도킹 스테이션(172)로부터 카메라 어셈블리(100)를 리프트할 수 있도록 엑추에이터(326)는 핀(322)들을 리프트시켜서 카메라 어셈블리(100)를 제거한다.
컨트롤러(140)는 전원(138)의 충전을 모니터링하도록 구성되므로, 전원(138)이 소정의 충전 레벨로 리턴되면 충전을 중단될 수 있다. 선택적으로, 접촉핀(322)들의 구동을 제어함과 동시에 충전을 제어 및/또는 모니터링하기 위해, 도킹 스테이션(172)에 국부적으로 장착되는 전용 로직 회로(미도시)와 같은 다른 수단이 사용될 수 있다.
도 1을 다시 참조하면, 컨트롤러(140)는 카메라(104)에 의해 보여지는 이미지 정보를 수신한다. 이미지 정보는 엔드 이펙터의 위치를 결정 및/또는 처리 시스템 부분들의 화면(view)들을 제공하도록 컨트롤러(140)에 의해 처리될 수 있다. 도 1에 도시된 실시예에서, 컨트롤러(140)는 이미지(152), 예를 들어 처리 챔버(192) 중 하나에 배치된 기판 지지부(186)의 이미지를 모니터(148)상에 디스플레이하여, 오퍼레이터에 의해 이미지(152)가 용이하게 보여질 수 있도록 한다.
하나의 동작 모드로서, 모니터(148)상에 디스플레이되는 이미지(152)는 예를 들어 기판 지지부(186) 상부에 형성되는 진공 포트 상부에 포트 이미지(170)로서 모니터(148)상에 디스플레이되는 이미지로서, 소정의 위치 또는 타겟에 엔드 이펙터(198)를 배치하도록 로봇(196)을 수동식으로 조그(jog)하도록 이용될 수 있다. 엔드 이펙터(198)를 이동시키는데 필요한 거리를 용이하게 하기 위해, 디스플레이(150)는 선택적으로 그리드(158)를 주사할 수 있다. 그리드(158)는 타겟 이미지, 예를 들어 포트 이미지(170) 및 인디시아의 이미지(160) 사이의 거리가 각각의 축을 따라 포트 이미지(170)와 인디시아 이미지(160) 사이의 그리드 라인들의 개수를 카운트함으로써 변하도록 구성된다.
도 4는 소정 위치에 엔드 이펙터를 배치하는 미세 로봇 참조 좌표들에 이용될 수 있는 보정 프로시저(400)의 일 실시예를 도시하는 흐름도이다. 이러한 지점들은 이에 한정됨이 없이, 시스템(190)의 로봇에 의해 기판이 배치되거나 회수되는 임의의 위치를 포함한다. 프로시저(400)가 처리 챔버(194) 중 하나의 기판 지지부(186)를 통해 전달 로봇(198)의 엔드 이펙터(198)를 정렬하는 것으로서 기술되지만, 상기 프로시저(400)는 상기 지점에 로봇의 위치를 보정하기 위해 임의의 시스템 로봇의 운동 범위내의 다른 지점들에 이용될 수 있다. 단계 402에서, 카메라 어셈블리(100)는 전달 로봇(196)의 엔드 이펙터(198)상에 위치된다. 이러한 단계는 지점으로부터 카메라 어셈블리(100)를 로봇(196)에 원격 전달하는 단계를 포함할 수 있다. 단계 404에서, 로봇(196)은 처리 챔버(192)내의 위치로 x/z 평면에서 조그됨으로써, 기판 지지부(86)의 이미지(152)가 모니터(148)상에 디스플레이되도록 한다. 단계 406에서, 로봇(196)은 이미지(152) 또는 타겟, 예를 들어 포트 이미지(170)의 소정 부분으로 인디시아(214)를 정렬하기 위해 x/z 평면에서 수동식으로 조그된다. 단계 408에서, 엔드 이펙터(198)의 정렬된 위치는 x/z 평면의 참조 좌표로서 기록된다.
일단 포트 이미지(170) 및 인디시아(indicia)가 정렬되면, 엔드 이펙터(198)의 상승이 단계(410)에서 y축을 따라서 로봇(196)의 엔드 이펙터(198)를 조깅함으로써 미리 결정된 위치로 이동된다. 상기 미리 결정된 위치로의 도착은 단계(412)에서 인디시아(152)와 포트 이미지(170)의 상대적 크기를 비교함으로써 결정될 수 있다. 상기 비교는 로봇(196)의 엔드 이펙터(198)가 적당히 상승할 때, 크기 및/또는 타겟의 지형(즉, 포트 이미지(170))을 매칭하는 인디시아(212)를 이용함으로써 용이하게 수행될 수 있다. 단계(414)에서, 엔드 이펙터(198)의 상승은 y축을 따라서 기준 좌표로 기록된다.
도 5 는 미리 한정된 위치에 엔드 이펙터를 위치시키는 로봇 기준 좌표들을 찾는데 이용될 수 있는 교정 과정(500)의 또 다른 실시예를 도시하는 플로우 다이어그램이다. 상기 과정(500)은, 전달 로봇(198)의 엔드 이펙터(198)를 처리 챔버(194) 중 하나의 기판 지지대(186)에 정렬하는 것으로 설명되지만, 상기 과정(500)은 그 위치에서 로봇의 위치를 교정하는 시스템 로봇의 운동 범위내의 다른 위치들에서 이용될 수 있다. 단계(502)에서, 카메라 어셈블리(100)는 전달 로봇(196)의 엔드 이펙터(198) 상에 위치한다. 단계(504)에서, 제어기(140)는 로봇(196)을 기판 지지대(186)의 이미지(152)가 카메라(104)에 의해 보여지도록 처리 챔버(192) 내의 위치로 유도한다. 단계(506)에서, 제어기(140)는 카메라에 의해 보여진 이미지(104)를 제어기(140)의 메모리(142)에 저장된 기준 이미지와 비교한다. 단계(508)에서, 제어기(140)는 로봇(196)의 현재 위치와 X/Z 평면의 미리 한정된 위치 사이의 거리를 산출하고, 엔드 이펙터(198)를 상응하게 이동시킨다. 단계(506 및 508)는 로봇(196)의 엔드 이펙터(198)가 미리 한정된 위치, 즉 엔드 이펙터(198)의 X/Z 기준 좌표들이 단계(510)에서 제어기(140)에 의해 기록되는 위치에 도달할 때까지 반복된다.
일단, 엔드 이펙터(198)의 X/Z 기준 좌표들이 얻어지면, 엔드 이펙터(188)의 상승은 단계(512)에서 y 축을 따라서 로봇(196)의 엔드 이펙터(198)를 움직임으로써 미리 결정된 위치까지 이동된다. 미리 결정된 위치로의 도착은 단계(514)에서 카메라(104)에 의해 보여진 이미지의 상대적 크기와 기준 정보와 비교함으로써 결정될 수 있다. 예를 들면, 카메라(104)의 상승은 타겟 이미지의 다수의 픽셀들이 미리 결정된 양과 같아질 때까지 조절될 수 있다. 하나의 선택적 예시에서, 타겟 이미지의 상대적 측면은 카메라(104)에 의해 보여진 인디시아(212)와 비교될 수 있다. 로봇(196)의 엔드 이펙터(198)가 미리 한정된 y축 방향 위치에 도달할 때, 엔드 이펙터(198)의 Y 기준 좌표들은 단계(516)에서 제어기(140)에 의해 기록된다. X, Y 및 Z 기준 좌표들은 동시에, 또는 어떠한 순서로도 얻어질 수 있다.
도 6 은 본 발명이 이용될 수 있는 또 다른 방법(600)을 도시한다. 단계(602)에서, 카메라 어셈블리(100)는 전달 로봇(196)(또는 시스템(190)의 다른 로봇)의 엔드 이펙터(198) 상에 위치한다. 단계(604)에서, 제어기(140)는 카메라 어셈블리(100)를 미리 결정된 위치 및/또는 시스템(190)을 통해서 미리 결정된 경로까지 움직이도록 로봇(196)을 유도한다. 단계(604)에서, 이미지들이 제어기(140)로 전송된다. 단계(608)에서, 전송된 이미지는 제어기(140)에 의해 해석된다. 예를 들면, 이미지들은 시스템(190) 내부의 조사를 위해서 모니터(148) 상에 디스플레이될 수 있다. 선택적으로, 이미지들은 제어기(140)의 메모리(142)에 저장된 기준 이미지들과 비교될 수 있다. 이미지들은 또한 다른 목적들, 예를 들면 세일즈 또는 기술적인 전시를 위해서 이용될 수 있다.
도 7 은 처리 시스템(750)의 이미지들을 얻기 위해서 이용될 수 있는 관측 시스템(700)의 또 다른 실시예를 도시한다. 처리 시스템(700)은 도 1 을 참조하여 설명된 처리 시스템(190)과 실질적으로 유사하고, 상응하게 전달 챔버 안에 배치된 전달 로봇(756)을 가지는 전달 챔버(754)에 결합된 단지 하나의 처리 챔버(752)가 간략함을 위해서 도시된다.
관측 시스템(700)은 일반적으로 제어기(702), 카메라(704) 및 반사기(706)를 포함한다. 반사기(706)는 일반적으로 이미지들이 카메라(704)에 의해 보여지는 방향에서 엔드 이펙터(758)에 결합되고, 카메라(704)는 카메라의 시계 밖에 있다. 반사기(706)는 엔드 이펙터(758)에 조여지거나, 본딩되거나 또는 부착될 수 있다. 선택적으로, 반사기(706)는, 반사기(706)(및 위치판)가 사용되지 않을 때 엔드 이펙터로부터 제거될 수 있도록 상기된 위치판(106)에 유사하게 구성된 위치판(706)에 결합될 수 있다.
도 7 에 도시된 실시예에서, 반사기(706)는 엔드 이펙터(758)의 하부측(720)에 결합되고, 반사표면(708)을 포함한다. 반사 표면(708)은 일반적으로 광학 퀄러티 반사를 제공하는 연마된 스테인레스강 또는 다른 금속으로 제조될 수 있다. 반사표면(708)은 카메라(704)의 시계에 대해서 대략 45도로 지향된다. 따라서, 엔드 이펙터(758) 아래의 목적물의 이미지 및 카메라의 시계의 바깥쪽은 처리 챔버(752)로부터 떨어져 위치한 카메라(704)에 의해 포획될 수 있다. 포획된 이미지들은 상기된 설명처럼 조사 또는 교정을 위해서 사용될 수 있다.
반사기(706)는 카메라(704)가 반사 표면(708)의 각도 방향을 바꿈으로써 시스템(750) 내에 미리 한정된 위치에서 목적물을 보도록 구성될 수 있다. 반사기(706)는 엔드 이펙터(758)의 위, 아래 또는 옆을 따라서 이미지들을 제공하도록 구성될 수 있다. 선택적으로, 반사기(706)는 카메라 시계의 바깥쪽에 이미지를 제공하는데 적합한 프리즘, 렌즈 또는 다른 광학 장치일 수 있다.
반사기(706)는 선택적으로 반사기(706)가 엔드 이펙터(758)에 관하여 이동되도록 하는 방식으로 위치판에 결합될 수 있어서, 보다 많은 수의 객체들이 고정된 시야처럼 카메라(704)에 의해 보여질 수 있다. 제어 가능한 위치를 가지는 반사기는 도 11 을 참조하여 아래 설명된다.
제어기(702) 및 카메라(704)는 일반적으로 상기된 제어기(140) 및 카메라(104)에 유사하다. 카메라(704)는 일반적으로 로봇(756)의 엔드 이펙터(758)가 처리 챔버(752) 안으로 삽입될 때, 처리 챔버(752)의 외부에 남아있는(예, 전달 챔버에 남아있는) 전달 로봇(756)의 일 부분에 장착된다. 처리 챔버(752)로 들어가지 않는 위치에 카메라(704)를 장착하는 것은 카메라를 손상시키지 않는 더 뜨거운 환경에서의 관측 시스템(700)을 이용한다. 따라서, 이미지들은 냉각을 기다리지 않고서, 핫 처리 챔버에서 얻어질 수 있다.
팩토리 인터페이스 로봇, 예를 들면 도 1 에 도시된 로봇(182)에 결합된 관측 시스템(700)의 일 실시예에서, 카메라(704)는 카메라 시계 내로 반사기(706)를 유지하는 인터페이스 로봇의 부분에 결합될 수 있는데, 왜냐하면 인터페이스 로봇에 의해 접근된 환경이 일반적으로 전달 로봇의 엔드 이펙터가 노출된 환경보다 더 적대적이기 때문이다.
일 실시예에서, 카메라(704)는 엔드 이펙터(758)를 전달 로봇(756)의 연결부(762)에 결합하는 손목부(wrist)(760)에 결합된다. 선택적으로, 카메라(704)는 연결부(762)에 결합될 수 있거나 또는 전달 챔버(760) 내에 정적으로 위치할 수 있다. 카메라(704)가 전달 로봇(transfer robot)(756)을 통해 시스템(750)에 결합될 때, 카메라(704)가 로봇(756)과 전달 챔버(transfer chamber)(754)를 통해 제어기(702)로 하드와이어링될 수 있기 때문에, 로컬 전원(712) 및 전송기(transmitter)(714)가 요구되지 않는다. 대안적으로, 전술된 전원(138)과 전송기(156)와 유사하게, 전원 및 전송기는 로봇(756) 상의 카메라(704)에 또는 시스템(750) 바로 옆에 결합될 수 있다.
도 8은 카메라 어셈블리(800)의 또다른 실시예에 대한 평면도이다. 카메라 어셈블리(800)은 카메라 어셈블리(800)의 카메라(104)가 카메라 어셈블리(800)에 이동가능하게 설치된다는 것을 제외하고는 전술된 카메라 어셈블리(100)와 유사하다. 카메라(104)는 카메라(104)를 지지하는 위치 플레이트(locating plate)(106)에 대해 카메라(104)의 시선을 변화시킴으로써 로봇 또는 엔드 이펙터(end effector)(미도시)를 이동시키지 않으면서 객체들을 관찰하도록 지향될 수 있다. 위치 플레이트(104)에 대한 카메라(104)의 이동은 짐벌 어셈블리(802)에 의하여 조장된다. 짐벌 어셈블리(802)는 카메라(104)의 방향을 변화시킬 수 있는 임의의 장치, 예를 들어, 볼 조인트(ball joint), 범용 조인트 또는 적어도 하나의 평면을 통해 카메라(104)의 관측(view)을 변화시킬 수 있는 다른 장치일 수 있다.
도 8에 도시된 실시예에서, 짐벌 어셈블리(802)는 피봇 어셈블리(806)가 결합된 턴테이블 어셈블리(804)를 포함한다. 피봇 어셈블리(806)에는 카메라(104)가 설치되고, 피봇 어셈블리(806)는 위치 플레이트(106)에 평행하게 배치된 축(808)에 대하여 카메라(104)를 회전시키도록 적응된다. 턴테이블 어셈블리(804)는 축(808)에 수직한, 그리고 위치 플레이트(106)을 관통하여 배치된 개구부(210)와 동심인 축(810)을 중심으로 회전하도록 적응된다. 턴테이블 어셈블리(804)는 축(810)을 중심으로 카메라(104)를 회전시키도록 적응된다.
부가적으로 도 9의 단면도를 참조하면, 턴테이블(804)은 턴테이블(816)을 유지하는 레이스(race)(814)를 포함한다. 턴테이블(816)은 구동 모터(820)와 맞물리는 톱니 주변부(toothed perimeter)(818)를 갖는다. 구동 모터(820)는 턴테이블(816)의 회전 방향을 제어하기 위하여 모터(820)에 명령들을 제공하는 제어기(140)에 결합된다.
턴테이블(816)은 주변부(818)에 근접하여 결합되는 탭(822)을 포함한다. 탭(822)은 적어도 부분적으로 관통하여 형성된 홀(824)을 갖고, 상기 홀은 위치 플레이트(106)에 결합되는 액츄에이터(826)의 피스톤(828)과 인터페이싱하도록 적응된다. 턴테이블(816)이 미리 설정된 각 방향에 있을 때, 피스톤(828)은 홀(824)과 맞물리도록 구동될 수 있고, 그리하여 축(810)을 중심으로 턴테이블(816)의 위치를 로킹하거나 고정한다.
피봇 어셈블리(806)는 위치 플레이트(106)의 개구부(210)에 정렬되는 턴테이블(816)의 중심에 형성된 개구부(838)에 걸쳐진 한 쌍의 브래킷들(830)을 구비한다. 카메라(104)는 축(808)을 따라 배치된 축(832)에 의하여 브래킷들(830) 사이에 회전가능하게 지지된다. 축(832)의 한 단부는 턴테이블(816)에 결합되는 구동 모터(836)와 인터페이싱하는 기어(834)를 포함한다. 구동 모터(836)는 축(808)을 중심으로 브래킷들(830)에 대하여 카메라(104)의 회전 방향을 제어하기 위하여 모터(836)에 명령들을 제공하는 제어기(140)에 결합된다. 그리하여, 턴테이블 어셈블리(804) 및 피봇 어셈블리(804)는 이미지들이 획득되는 상부 반구형 시계(upper hemispherical field of view; UVOF) 및 하부 원추형 뷰 오브 뷰(lower conical view of view; LFOV)를 갖는 카메라(104)를 배향시킬 수 있다.
부가적으로 도 10을 참조하면, 기어(834)는 적어도 부분적으로 관통하여 형성된 적어도 제 1 배치 홀(locating hole)(1002)을 포함한다. 홀(1002)은 턴테이블(816)에 결합된 액츄에이터(1006)의 피스톤(1004)과 인터페이싱하도록 적응된다. 기어(834)가 미리 설정된 각 방향에 있을 때, 예를 들어, 카메라(104)가 위치 플레이트(106)의 개구부를 통해 축(810)을 따라 포착하고 있을 때(예를 들어, 대향하고 있을 때), 피스톤(1004)은 홀(1002)과 맞물리도록 작동될 수 있고, 그에 의하여 축(808)을 중심으로 카메라(104)의 방향을 로킹 또는 고정한다. 홀(1008)은 기어(834)를 보다 확고히 유지하기 위하여 기어(834) 내 홀(1002)을 관통하여 통과한 이후 피스톤(1004)을 수용하도록 브래킷(830)에 제공될 수 있다. 대안적으로(또는 부가하여), 제 2 홀(1010)은 상향 관찰 위치로 카메라(104)를 배치하기 위하여 제 1 홀(1002)에 대하여 축(808)을 중심으로 180도 회전된 위치에서 적어도 부분적으로 기어(834)를 관통하여 형성될 수 있다.
일 실시예에서, 짐벌 어셈블리(802)는 개구부(210)를 관통하여 축(810)을 따라 보는 방향으로 카메라(104)를 유지하기 위하여 피스톤들(828, 1004)을 작동시킴으로써 로킹될 수 있다. 이러한 로킹 상태에서, 로봇의 위치 보정은 전술된 방법들을 통해 정확히 달성될 수 있다. 게다가, 언로킹된 위치에서, 카메라(104)는 실질적으로 전체 시스템으로부터의 관측(view)을 얻기 위하여 로봇이 운동하지 않는 동안과 운동하는 동안 둘 다 여러 방향들로 선회될 수 있고, 이것은 유리하게 실질적으로 정상 처리 라우팅의 방해없이 그리고 조사되고 있는 시스템의 영역들 내에서 진공의 손실없이 시스템의 조사에 이용될 수 있다.
도 11은 도 7을 참조하여 앞서 기술된 관측 시스템(vision system)(700)의 반사기(704) 대신에 이용될 수 있는 반사기 어셈블리(1100)를 도시한다. 반사기 어셈블리(1100)는 반사기 어셈블리(1100)의 짐벌 어셈블리(802)가 반사기(1102)의 방향을 제어한다는 것을 제외하고는 카메라 어셈블리(800)와 대체로 유사하다. 그리하여, 카메라(704)(도 7에 도시)는 화살표(1106)에 의해 도시된 바와 같이 카메라(104)에 대하여 반사기(1102)의 각/방향을 변화시킴으로써 로봇 또는 엔드 이펙터(미도시)를 이동시키는 카메라의 시선 밖에 있는 반사기(1102)에서 반사되는 객체들의 이미지들을 관찰할 수 있다.
도 11에 도시된 실시예에서, 짐벌 어셈블리(802)는 위치 플레이트(106) 상에 배치되고, 피봇 어셈블리(806)가 결합된 턴테이블 어셈블리(804)를 포함한다. 피봇 어셈블리(806)에는 반사기(1102)가 설치되고, 피봇 어셈블리(806)는 위치 플레이트(106)에 평행하게 배치된 축(808)에 대하여 반사기(1102)를 회전시키도록 적응된다. 턴테이블 어셈블리(804)는 축(808)에 수직한 축(810)을 중심으로 회전하도록 적응된다. 턴테이블 어셈블리(804)는 축(810)을 중심으로 반사기(1102)를 회전시키도록 적응된다. 턴테이블 어셈블리(804)와 피봇 어셈블리(806)간의 운동들의 결합은 반사기(1102)의 방향이 제어기(140)에 의하여 명령받은 대로 배치될 때 반사기(1102)의 반사 표면(1104)이 카메라(704)가 위치 플레이트(106)의 위에서, 아래에서 그리고 측면을 따라 객체들의 이미지를 포착할 수 있도록 배치되게 한다.
그리하여, 관측 시스템은 반도체 처리 시스템 내에서 이미지들을 포착을 조장하도록 제공된다. 관측 시스템은 보정 및 조사 절차들이 최소의 작동자 상호작용으로, 시스템의 내부를 주변 환경에 노출시키지 않으면서 수행되도록 한다. 게다가, 관측 시스템은 기판 처리를 방해하지 않으면서 획득될 수 있는 로봇 위치의 보다 정확한 위치 데이터를 제공하기 위하여 동작 온도에서 또는 그 근처에서 그리고 진공 조건 하에서 인-시츄(in-situ) 조사 및 보정을 제공한다.
본 발명의 사상들을 포함하는 여러 실시예들이 도시되고 본 명세서에서 상세히 설명되었지만, 당업자는 여전히 본 발명의 사상들을 포함하는 다수의 다른 변형된 실시예들을 용이하게 고안해낼 수 있다.

Claims (79)

  1. 기판 전달 로봇에 의해 반도체 처리 시스템 근처로 전달되는 플레이트;
    상기 플레이트에 결합된 카메라;
    상기 카메라의 관측된 물체를 나타내는 신호를 발생시키기 위해 상기 플레이트의 제 1 측면에 결합된 전송기; 및
    상기 전송기에 전력을 인가하기 위해 상기 플레이트에 결합되는 배터리를 포함하는 장치.
  2. 제 1 항에 있어서,
    상기 배터리 또는 플레이트에 배치되며 상기 배터리를 충전기에 결합시키는 적어도 하나의 콘택 패드를 더 포함하는 것을 특징으로 하는 장치.
  3. 제 1 항에 있어서,
    상기 플레이트는 상기 플레이트의 제 2 측면으로부터 연장되며 다른 물체를 기준으로 상기 플레이트를 위치시키는 적어도 두개의 핀을 포함하는 것을 특징으로 하는 장치.
  4. 제 1 항에 있어서,
    상기 배터리에 결합되며 상기 플레이트의 제 2 측면에서 물체를 조명하는 광원을 더 포함하는 것을 특징으로 하는 장치.
  5. 제 4 항에 있어서,
    상기 플레이트는 상기 광원을 수용하는 홀을 더 포함하는 것을 특징으로 하는 장치.
  6. 제 4 항에 있어서,
    상기 광원은 발광 다이오드인 것을 특징으로 하는 장치.
  7. 제 1 항에 있어서,
    상기 배터리는 진공 환경에 적합한 것을 특징으로 하는 장치.
  8. 제 1 항에 있어서,
    상기 플레이트는 표준 웨이퍼의 직경과 거의 동일한 직경을 갖는 것을 특징으로 하는 장치.
  9. 제 1 항에 있어서,
    상기 플레이트는 상기 카메라를 통해 물체를 관찰하도록 상기 플레이트를 통해 형성된 개구부를 더 포함하는 것을 특징으로 하는 장치.
  10. 제 9 항에 있어서,
    상기 플레이트는 상기 개구부내에 배치된 투명 윈도우를 더 포함하는 것을 특징으로 하는 장치.
  11. 제 10 항에 있어서,
    상기 윈도우는 인디시아(indicia)를 더 포함하는 것을 특징으로 하는 장치.
  12. 제 1 항에 있어서,
    상기 전송기에 의해 발생된 신호는 무선 신호인 것을 특징으로 하는 장치.
  13. 제 1 항에 있어서,
    상기 카메라는 적어도 제 1 축 부근에서 회전할 수 있는 것을 특징으로 하는 장치.
  14. 제 13 항에 있어서,
    상기 제 1 축 부근에서 상기 카메라의 배향을 시간과 관련하여 고정시키기 위한 록킹 메커니즘을 더 포함하는 것을 특징으로 하는 장치.
  15. 제 1 항에 있어서,
    상기 카메라는 두개의 축 부근에서 회전가능한 것을 특징으로 하는 장치.
  16. 반도체 처리 시스템용 관측 시스템으로서,
    반도체 처리 시스템에서 사용되는 적어도 하나의 로봇;
    상기 로봇에 결합된 엔드 이펙터;
    상기 로봇에 의해 선택적으로 위치되는 카메라;
    상기 카메라에 결합된 전송기; 및
    상기 카메라에 의해 전송된 이미지를 수신하는 수신기를 포함하는 관측 시스템.
  17. 제 16 항에 있어서,
    상기 로봇은 진공 챔버에 고정되는 것을 특징으로 하는 관측 시스템.
  18. 제 16 항에 있어서,
    상기 카메라와 결합된 플레이트를 더 포함하는 것을 특징으로 하는 관측 시스템.
  19. 제 18 항에 있어서, 상기 플레이트는,
    그를 통해 형성된 개구부; 및
    상기 개구부를 통해 이미지를 얻는 카메라를 더 포함하는 것을 특징으로 하는 관측 시스템.
  20. 제 18 항에 있어서,
    상기 로봇의 엔드 이펙터는 상기 카메라를 통해 관측이 이루어지도록 그를 통해 형성된 홀을 더 포함하는 것을 특징으로 하는 관측 시스템.
  21. 제 18 항에 있어서,
    상기 플레이트는 그로부터 연장되며 상기 엔드 이펙트내에 형성된 홀에 수용되는 적어도 하나의 위치 핀을 더 포함하는 것을 특징으로 하는 관측 시스템.
  22. 제 16 항에 있어서,
    상기 카메라는 상기 엔드 이펙터 상에 이미지를 포착하도록 배향되는 것을 특징으로 하는 관측 시스템.
  23. 제 16 항에 있어서,
    상기 카메라는 상기 엔드 이펙트 하부에서 이미지를 포착하도록 배향되는 것을 특징으로 하는 관측 시스템.
  24. 제 16 항에 있어서,
    상기 하우징에 결합된 하나 이상의 처리 챔버를 더 포함하며, 상기 카메라는 상기 처리 챔버내에서 이미지를 포착하도록 구성되는 것을 특징으로 하는 관측 시스템.
  25. 제 16 항에 있어서,
    팩토리 인터페이스, 로드락 챔버 및 기판 저장 카세트로 구성된 그룹으로부터 선택된 하나 이상의 시스템 성분을 더 포함하는 것을 특징으로 하는 관측 시스템.
  26. 제 16 항에 있어서,
    상기 전송기에 결합된 배터리를 더 포함하는 것을 특징으로 하는 관측 시스템.
  27. 제 26 항에 있어서,
    상기 엔드 이펙터에 의해 보유되지 않을 경우 상기 지지 플레이트를 지지하는 도킹 스테이션을 더 포함하는 것을 특징으로 하는 관측 시스템
  28. 제 26 항에 있어서,
    상기 도킹 스테이션은 상기 배터리를 재충전하는 장치를 더 포함하는 것을 특징으로 하는 관측 시스템.
  29. 제 28 항에 있어서,
    상기 도킹 스테이션은 상기 플레이트 또는 상기 배터리에 형성된 전기적 콘택에 대해 배치된 적어도 하나의 전기적 콘택을 더 포함하며, 상기 전기적 콘택은 상기 배터리를 재충전하기 위한 전류 경로를 제공하는 것을 특징으로 하는 관측 시스템.
  30. 제 16 항에 있어서,
    상기 카메라를 지지하는 플레이트; 및
    상기 전송기를 동작시키기 위해 상기 플레이트에 결합되는 스위치를 더 포함하는 것을 특징으로 하는 관측 시스템.
  31. 제 30 항에 있어서,
    상기 스위치는 상기 엔드 이펙터에 근접하게 응답하여 상태를 변화시키는 것을 특징으로 하는 관측 시스템.
  32. 제 30 항에 있어서,
    상기 스위치는 사용되지 않는 경우 상기 플레이트를 지지하는 도킹 스테이션에 근접하게 응답하여 상태를 변화시키는 것을 특징으로 하는 관측 시스템.
  33. 제 16 항에 있어서,
    상기 엔드 이펙터 상에 배치된 플레이트; 및
    상기 카메라의 시야(field of view)의 외측에서 이미지를 관측하도록 상기 카메라를 허용하기 위해 상기 플레이트에 결합되는 반사기를 더 포함하는 것을 특징으로 하는 관측 시스템.
  34. 제 16 항에 있어서,
    상기 카메라는 적어도 제 1 축 부근에서 회전가능한 것을 특징으로 하는 관측 시스템.
  35. 제 34 항에 있어서,
    상기 제 1 축 부근에서 상기 카메라의 배향을 시간에 따라 고정시키기 위한 록킹 메커니즘을 더 포함하는 것을 특징으로 하는 관측 시스템.
  36. 제 16 항에 있어서,
    상기 카메라는 두개의 축 부근에서 회전가능한 것을 특징으로 하는 관측 시스템.
  37. 반도체 처리 시스템내에서의 관측용 시스템으로서,
    링키지에 의해 결합된 엔드 이펙터 및 바디를 갖는 로봇;
    상기 링키지에 결합된 카메라; 및
    상기 엔드 이펙터에 결합되며 상기 카메라에 의해 얻어진 이미지의 방향을설정하는 반사기를 포함하는 관측 시스템.
  38. 제 37 항에 있어서,
    상기 반사기는 블레이드에 거의 수직인 관측(view)을 얻도록 구성되는 것을 특징으로 하는 관측 시스템.
  39. 제 38 항에 있어서,
    상기 관측은 상향 또는 하향되는 것을 특징으로 하는 관측 시스템.
  40. 제 37 항에 있어서,
    상기 반사기는 적어도 제 1 축 부근에서 회전할 수 있는 것을 특징으로 하는 관측 시스템.
  41. 제 40 항에 있어서,
    상기 제 1 축 부근에서 회전할 수 있는 상기 바사기의 배향을 시간에 따라 고정시키는 록킹 메커니즘을 더 포함하는 것을 특징으로 하는 관측 시스템.
  42. 제 37 항에 있어서,
    상기 반사기는 두개의 축 부근에서 회전가능한 것을 특징으로 하는 관측 시스템.
  43. 반도체 처리 시스템의 내부 관측(view)을 얻는 방법으로서,
    반도체 처리 시스템내에 배치된 로봇을 이용하여 카메라를 이동시키는 단계;
    상기 카메라에 의패 포착된 이미지를 전송하는 단계; 및
    상기 포착된 이미지를 원격적으로 수신하는 단계를 포함하는 내부 관측을 얻는 방법.
  44. 제 43 항에 있어서,
    상기 이동시키는 단계는 로드락 어셈블리 속에 상기 카메라를 삽입하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  45. 제 43 항에 있어서,
    상기 이동시키는 단계는 팩토리 인터페이스를 통해 상기 카메라를 전달하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  46. 제 43 항에 있어서,
    상기 이동시키는 단계는 하나 이상의 처리 카메라가 결합된 전달 챔버를 통해 상기 카메라를 전달시키는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  47. 제 43 항에 있어서,
    상기 이동시키는 단계는 처리 챔버 속으로 상기 카메라를 삽입하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  48. 제 43 항에 있어서,
    상기 이동시키는 단계는 기판 저장 카세트 속으로 상기 카메라를 삽입하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  49. 제 43 항에 있어서,
    상기 전송 단계는 상기 카메라에 의해 관측된 이미지를 디스플레이에 제공하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  50. 제 43 항에 있어서,
    상기 카메라에 의해 관측된 이미지에 응답하여 상기 로봇을 이동시키는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  51. 제 43 항에 있어서,
    상기 카메라에 의해 관측된 이미지를 기초로 상기 로봇의 기준 위치를 기록하는 단계를 더 포함하는 것을 내부 특징으로 하는 관측을 얻는 방법.
  52. 제 43 항에 있어서,
    도킹 스테이션 상에 상기 카메라를 위치시키는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  53. 제 52 항에 있어서,
    상기 도킹 스테이션 상에 카메라를 위치시키는 단계는 상기 카메라에 결합된 전원장치를 충전하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  54. 제 43 항에 있어서,
    상기 도킹 스테이션으로부터 상기 로봇의 엔드 이펙터로 상기 카메라를 전송하는 단계; 및
    상기 전송에 응답하여 전송기를 동작시키는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  55. 제 54 항에 있어서,
    상기 전송기를 동작시키는 단계는 상기 엔드 이펙터 또는 도킹 스테이션의 존재에 응답하여 센서의 상태를 변화시키는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  56. 제 43 항에 있어서,
    상기 카메라는 상향 배향되는 것을 특징으로 하는 내부 관측을 얻는 방법.
  57. 제 43 항에 있어서,
    상기 카메라는 하향 배향되는 것을 특징으로 하는 내부 관측을 얻는 방법.
  58. 제 43 항에 있어서,
    상기 카메라의 시야(field of view) 외측에서 이미지를 포착하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  59. 제 58 항에 있어서,
    상기 포착 단계는 상기 시야에 반사기를 위치시키는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  60. 제 59 항에 있어서,
    상기 카메라를 기준으로 상기 반사기의 배향을 제어하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  61. 제 43 항에 있어서,
    상기 로봇을 기준으로 상기 카메라의 배향을 조절하는 단계를 더 포함하는것을 특징으로 하는 내부 관측을 얻는 방법.
  62. 제 43 항에 있어서,
    상기 로봇에 결합된 광원을 이용하여 상기 카메라의 시야에서 물체를 조명하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  63. 반도체 처리 시스템에 배치된 로봇의 기준 좌표를 얻는 방법으로서,
    로봇상에 카메라를 위치시키는 단계;
    카메라로 타겟을 관찰하는 단계; 및
    상기 타겟 이미지와 예정된 위치 사이의 관련 거리를 검출하는 단계를 포함하는 기준 좌표를 얻는 방법.
  64. 제 63 항에 있어서,
    상기 검출 단계는 인디시아와 타겟을 비교하는 단계를 더 포함하는 것을 특징으로 하는 기준 좌표를 얻는 방법.
  65. 제 64 항에 있어서,
    상기 검출 단계는 제어기에 저장된 기준 이미지와 상기 타겟의 이미지를 비교하는 단계를 더 포함하는 것을 특징으로 하는 기준 좌표를 얻는 방법.
  66. 처리 시스템의 내부를 관찰하는 방법으로서,
    로봇 상에 카메라를 위치시키는 단계;
    상기 처리 시스템 내에서 상기 카메라를 이동시키는 단계; 및
    상기 카메라에 의해 관찰된 이미지를 제어기에 전송하는 단계를 포함하는 관찰 방법.
  67. 제 66 항에 있어서,
    상기 카메라를 위치시키는 단계는 상향 관측을 위해 상기 카메라를 배향시키는 단계를 더 포함하는 것을 특징으로 하는 관찰 방법.
  68. 제 66 항에 있어서,
    상기 카메라를 위치시키는 단계는 하향 관측을 위해 상기 카메라를 배향시키는 단계를 더 포함하는 것을 특징으로 하는 관찰 방법.
  69. 제 66 항에 있어서,
    상기 카메라를 위치시키는 단계는 상기 로봇의 엔드 이펙트 상에 배치된 반사기를 관찰하기 위해 상기 카메라를 배향시키는 단계를 더 포함하는 것을 특징으로 하는 관찰 방법.
  70. 제 69 항에 있어서,
    상기 반사기는 상기 카메라의 시야 외측에서 물체를 반사시키도록 배향되는 것을 특징으로 하는 관찰 방법.
  71. 제 70 항에 있어서,
    상기 카메라를 기준으로 상기 반사기의 배향을 제어하는 단계를 더 포함하는 것을 특징으로 하는 관찰 방법.
  72. 제 66 항에 있어서,
    상기 제어기의 모니터 상에 이미지를 디스플레이하는 단계를 더 포함하는 것을 특징으로 하는 관찰 방법.
  73. 제 66 항에 있어서,
    상기 로봇을 기준으로 상기 카메라의 배향을 제어하는 단계를 더 포함하는 것을 특징으로 하는 관찰 방법.
  74. 반도체 처리 시스템의 내부의 관측을 얻기 위한 방법으로서,
    반도체 처리 시스템에 배치된 로봇을 이용하여 카메라를 이동시키는 단계;
    상기 카메라를 이용하여 한개 이상의 이미지를 포착하는 단계;
    상기 포착된 이미지를 전송하는 단계; 및
    상기 포착된 이미지를 분석하는 단계를 포함하는 내부 관측을 얻는 방법.
  75. 제 74 항에 잇어서,
    상기 포착된 이미지를 분석하는 단계는 상기 반도체 처리 시스템의 내부를 조사하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  76. 제 74 항에 있어서,
    상기 카메라를 이동시키는 단계는 진공 환경에 상기 카메라를 노출시키는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  77. 제 74 항에 있어서,
    상기 카메라를 이동시키면서 상기 처리 시스템내에서 기판을 처리하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  78. 제 74 항에 있어서,
    상기 로봇을 기준으로 상기 카메라의 배향을 제어하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
  79. 제 74 항에 있어서,
    상기 포착 단계는 상기 반사기로부터 상기 카메라에 포착되는 이미지를 반사시키는 단계; 및
    상기 카메라를 기준으로 상기 반사기의 배향을 조절하는 단계를 더 포함하는 것을 특징으로 하는 내부 관측을 얻는 방법.
KR1020047016803A 2002-04-19 2003-04-18 관측 시스템 KR101011076B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/126,493 US7085622B2 (en) 2002-04-19 2002-04-19 Vision system
US10/126,493 2002-04-19
PCT/US2003/012288 WO2004017387A2 (en) 2002-04-19 2003-04-18 Vision system

Publications (2)

Publication Number Publication Date
KR20040101540A true KR20040101540A (ko) 2004-12-02
KR101011076B1 KR101011076B1 (ko) 2011-01-25

Family

ID=29215040

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020047016803A KR101011076B1 (ko) 2002-04-19 2003-04-18 관측 시스템

Country Status (8)

Country Link
US (1) US7085622B2 (ko)
EP (1) EP1514094B1 (ko)
JP (1) JP4703187B2 (ko)
KR (1) KR101011076B1 (ko)
CN (1) CN1653326B (ko)
AU (1) AU2003285810A1 (ko)
DE (1) DE60309467T2 (ko)
WO (1) WO2004017387A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2017217771A1 (ko) * 2016-06-14 2017-12-21 (주)제이티 무선이동모듈, 그가 설치된 소자핸들러
US11383940B2 (en) 2016-04-29 2022-07-12 Shanghai Micro Electronics Equipment (Group) Co., Ltd. Robot arm for holding cassette and automatic cassette transfer device

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US6952255B2 (en) * 2003-08-06 2005-10-04 Lam Research Corporation System and method for integrated multi-use optical alignment
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US8111904B2 (en) 2005-10-07 2012-02-07 Cognex Technology And Investment Corp. Methods and apparatus for practical 3D vision system
US7549204B1 (en) * 2005-11-30 2009-06-23 Western Digital Technologies, Inc. Methods for picking and placing workpieces into small form factor hard disk drives
US8744624B1 (en) * 2006-05-11 2014-06-03 Kla-Tencor Corporation Substrate alignment system
US20070276539A1 (en) * 2006-05-25 2007-11-29 Babak Habibi System and method of robotically engaging an object
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US20080013823A1 (en) * 2006-06-30 2008-01-17 Behnke Merlin E Overhead traveling camera inspection system
US8437535B2 (en) 2006-09-19 2013-05-07 Roboticvisiontech Llc System and method of determining object pose
WO2008051544A1 (en) * 2006-10-23 2008-05-02 Cyberoptics Semiconductor, Inc. Improved calibration of a substrate handling robot
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US8126260B2 (en) 2007-05-29 2012-02-28 Cognex Corporation System and method for locating a three-dimensional object using machine vision
TWI326353B (en) * 2007-05-29 2010-06-21 Ind Tech Res Inst Anomaly detection system and method
US8224607B2 (en) * 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
US8260461B2 (en) * 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
EP3281671A1 (en) * 2007-09-13 2018-02-14 ProCure Treatment Centers, Inc. Patient positioner system
US8923602B2 (en) * 2008-07-22 2014-12-30 Comau, Inc. Automated guidance and recognition system and method of the same
CN102112274B (zh) * 2008-08-01 2014-11-19 爱发科股份有限公司 搬运机器人的示教方法
US8559699B2 (en) 2008-10-10 2013-10-15 Roboticvisiontech Llc Methods and apparatus to facilitate operations in image based systems
US8341593B2 (en) * 2008-10-23 2012-12-25 Sap Ag Integrated development framework for composite applications
US9734419B1 (en) 2008-12-30 2017-08-15 Cognex Corporation System and method for validating camera calibration in a vision system
US8712571B2 (en) * 2009-08-07 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for wireless transmission of diagnostic information
US11699247B2 (en) * 2009-12-24 2023-07-11 Cognex Corporation System and method for runtime determination of camera miscalibration
US9393694B2 (en) 2010-05-14 2016-07-19 Cognex Corporation System and method for robust calibration between a machine vision system and a robot
JP6111065B2 (ja) * 2012-12-28 2017-04-05 川崎重工業株式会社 自動教示システム及び教示方法
JP2014188617A (ja) * 2013-03-27 2014-10-06 Seiko Epson Corp ロボット制御システム、ロボット、ロボット制御方法及びプログラム
US9442482B2 (en) * 2013-04-29 2016-09-13 GlobalFoundries, Inc. System and method for monitoring wafer handling and a wafer handling machine
US9111979B2 (en) * 2013-05-16 2015-08-18 Kevin P Fairbairn System and method for real time positioning of a substrate in a vacuum processing system
US10203683B2 (en) 2013-07-16 2019-02-12 Seagate Technology Llc Coordinating end effector and vision controls
US9555549B2 (en) * 2013-10-31 2017-01-31 Seiko Epson Corporation Control device, robot, robot system, and control method
US9464938B2 (en) * 2014-02-06 2016-10-11 The Boeing Company Systems and methods for measuring polarization of light in images
JP2015168012A (ja) * 2014-03-04 2015-09-28 株式会社安川電機 教示ジグ、教示システムおよび教示方法
PL3012695T3 (pl) 2014-10-23 2018-03-30 Comau S.P.A. Układ do monitorowania i sterowania zakładem przemysłowym
KR102516801B1 (ko) 2014-11-10 2023-03-31 브룩스 오토메이션 인코퍼레이티드 툴 자동-교시 방법 및 장치
US10090188B2 (en) 2016-05-05 2018-10-02 Applied Materials, Inc. Robot subassemblies, end effector assemblies, and methods with reduced cracking
WO2017192250A1 (en) * 2016-05-05 2017-11-09 Applied Materials, Inc Robot subassemblies, end effector assemblies, and methods with reduced cracking
WO2017196540A1 (en) 2016-05-13 2017-11-16 Applied Materials, Inc. Sensor based auto-calibration wafer
JP6923344B2 (ja) 2017-04-13 2021-08-18 株式会社Screenホールディングス 周縁処理装置および周縁処理方法
JP7021877B2 (ja) 2017-08-08 2022-02-17 株式会社Screenホールディングス 基板処理装置、位置合わせ装置および位置合わせ方法
IT201800005091A1 (it) 2018-05-04 2019-11-04 "Procedimento per monitorare lo stato di funzionamento di una stazione di lavorazione, relativo sistema di monitoraggio e prodotto informatico"
JP2019216152A (ja) * 2018-06-12 2019-12-19 株式会社荏原製作所 基板搬送システムのためのティーチング装置およびティーチング方法
JP7103910B2 (ja) * 2018-10-15 2022-07-20 東京エレクトロン株式会社 組付け状態提示装置および組付け状態提示方法
US10369701B1 (en) 2018-10-30 2019-08-06 Mujin, Inc. Automated package registration systems, devices, and methods
DE112019000125B4 (de) * 2018-10-30 2021-07-01 Mujin, Inc. Systeme, vorrichtungen und verfahren zur automatisierten verpackungsregistrierung
CN111230858B (zh) * 2019-03-06 2022-11-22 南昌工程学院 基于增强学习的视觉机器人运动控制方法
JP2022542946A (ja) * 2019-07-29 2022-10-07 ラム リサーチ コーポレーション 基板処理システムの自律的な制御及び検査のためのハードウェア・ソフトウェア統合型コンピュータビジョンシステム
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
CN111239139B (zh) * 2020-01-21 2020-11-03 珠海锐翔智能科技有限公司 双工位fpc补材偏移、重贴/漏贴检查机
US11676845B2 (en) 2020-06-30 2023-06-13 Brooks Automation Us, Llc Automated teach apparatus for robotic systems and method therefor
JP7121787B2 (ja) * 2020-10-29 2022-08-18 日本発條株式会社 ロボットハンド
CN113295704B (zh) * 2021-05-21 2024-02-09 内蒙古汇栋科技有限公司 机器人打磨视觉在线检测系统
CN117664862A (zh) * 2024-01-31 2024-03-08 宁德时代新能源科技股份有限公司 极耳检测系统和极耳检测方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4119381A (en) 1976-12-17 1978-10-10 Eastman Kodak Company Incubator and radiometric scanner
US5012574A (en) 1981-02-27 1991-05-07 Diffracto Ltd. Controlled machining of combustion chambers gears and other surfaces
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5435682A (en) 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5717785A (en) 1992-01-30 1998-02-10 Cognex Corporation Method and apparatus for locating patterns in an optical image
US5446584A (en) * 1989-09-11 1995-08-29 Kensington Laboratories, Inc. Compact specimen processing station
IL99823A0 (en) 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
DE69329269T2 (de) 1992-11-12 2000-12-28 Applied Materials Inc System und Verfahren für automatische Positionierung eines Substrats in einem Prozessraum
US5479252A (en) 1993-06-17 1995-12-26 Ultrapointe Corporation Laser imaging system for inspection and analysis of sub-micron particles
JP3261841B2 (ja) * 1993-12-27 2002-03-04 株式会社日立製作所 マルチ式ウエ−ハ処理装置
US5452521A (en) 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5563798A (en) 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US5552891A (en) 1994-10-31 1996-09-03 International Business Machines Corporation Automated mask alignment for UV projection expose system
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
SG54995A1 (en) 1996-01-31 1998-12-21 Texas Instr Singapore Pet Ltd Method and apparatus for aligning the position of die on a wafer table
JP3454400B2 (ja) 1996-02-16 2003-10-06 三井金属鉱業株式会社 繰返しパターンの検査方法
GB2310716A (en) 1996-02-28 1997-09-03 Daewoo Electronics Co Ltd Recognition of a fiducial mark on a printed circuit board
US5844683A (en) 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US5980194A (en) 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US5946083A (en) 1997-10-01 1999-08-31 Texas Instruments Incorporated Fixed optic sensor system and distributed sensor network
TW350115B (en) 1996-12-02 1999-01-11 Toyota Automatic Loom Co Ltd Misregistration detection device and method thereof
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6012965A (en) 1997-10-07 2000-01-11 Micro Optics Design Corp. Manufacturing ophthalmic lenses using lens structure cognition and spatial positioning system
WO1999028220A1 (fr) * 1997-12-03 1999-06-10 Nikon Corporation Dispositif et procede de transfert de substrats
JPH11207611A (ja) 1998-01-21 1999-08-03 Shin Etsu Handotai Co Ltd 両面研磨装置におけるワークの自動搬送装置
US6198976B1 (en) 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6068539A (en) 1998-03-10 2000-05-30 Lam Research Corporation Wafer polishing device with movable window
US6051113A (en) 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
JP3507330B2 (ja) * 1998-05-18 2004-03-15 大日本スクリーン製造株式会社 基板処理装置
JP2937244B1 (ja) 1998-05-20 1999-08-23 株式会社東京精密 ウェーハのパターン撮像装置
US6352466B1 (en) 1998-08-31 2002-03-05 Micron Technology, Inc. Method and apparatus for wireless transfer of chemical-mechanical planarization measurements
US6477265B1 (en) 1998-12-07 2002-11-05 Taiwan Semiconductor Manufacturing Company System to position defect location on production wafers
JP4255091B2 (ja) 1999-04-07 2009-04-15 株式会社日立国際電気 半導体製造方法
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6693708B1 (en) * 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6882416B1 (en) * 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6707545B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6813032B1 (en) 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US6721045B1 (en) * 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
JP2001210692A (ja) 2000-01-26 2001-08-03 Ebara Corp ティーチングの方法
US6532403B2 (en) 2000-04-21 2003-03-11 Microtool, Inc Robot alignment system and method
US6691068B1 (en) 2000-08-22 2004-02-10 Onwafer Technologies, Inc. Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
JP2002100664A (ja) 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理方法および装置
JP2004529485A (ja) * 2000-10-06 2004-09-24 アプライド マテリアルズ インコーポレイテッド 自動処理検査と階層型基板試験を規定するための方法と装置
US6648730B1 (en) * 2000-10-30 2003-11-18 Applied Materials, Inc. Calibration tool
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
EP1356682A4 (en) 2001-01-30 2005-07-20 Greene Tweed Inc MONITORING SYSTEM FOR HOSTILE ENVIRONMENT
US6591161B2 (en) 2001-01-31 2003-07-08 Wafermasters, Inc. Method for determining robot alignment
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3694808B2 (ja) 2001-04-13 2005-09-14 株式会社安川電機 ウェハ搬送用ロボットの教示方法および教示用プレート
US6671660B2 (en) 2001-04-19 2003-12-30 Onwafer Technologies, Inc. Methods and apparatus for power control
JP4041797B2 (ja) 2001-06-28 2008-01-30 ポラック ラボラトリーズ インコーポレイテッド 内蔵型センサ装置
US20050233770A1 (en) * 2002-02-06 2005-10-20 Ramsey Craig C Wireless substrate-like sensor
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (ja) 2002-07-17 2009-04-22 株式会社安川電機 搬送用ロボットのティーチング装置および搬送用ロボットのティーチング方法
US6890050B2 (en) * 2002-08-20 2005-05-10 Palo Alto Research Center Incorporated Method for the printing of homogeneous electronic material with a multi-ejector print head
US20050137751A1 (en) * 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11383940B2 (en) 2016-04-29 2022-07-12 Shanghai Micro Electronics Equipment (Group) Co., Ltd. Robot arm for holding cassette and automatic cassette transfer device
WO2017217771A1 (ko) * 2016-06-14 2017-12-21 (주)제이티 무선이동모듈, 그가 설치된 소자핸들러

Also Published As

Publication number Publication date
EP1514094A2 (en) 2005-03-16
AU2003285810A8 (en) 2004-03-03
US20030198376A1 (en) 2003-10-23
DE60309467D1 (de) 2006-12-14
WO2004017387A3 (en) 2004-04-08
AU2003285810A1 (en) 2004-03-03
DE60309467T2 (de) 2007-09-20
CN1653326B (zh) 2011-08-17
EP1514094B1 (en) 2006-11-02
CN1653326A (zh) 2005-08-10
JP4703187B2 (ja) 2011-06-15
KR101011076B1 (ko) 2011-01-25
WO2004017387A2 (en) 2004-02-26
US7085622B2 (en) 2006-08-01
JP2005525709A (ja) 2005-08-25

Similar Documents

Publication Publication Date Title
KR101011076B1 (ko) 관측 시스템
KR101163237B1 (ko) 웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법
KR101613135B1 (ko) 반도체 기판의 위치 검출 장치 및 위치 검출 방법
US9352466B2 (en) Robot positioning system for semiconductor tools
US6591160B2 (en) Self teaching robot
US6591161B2 (en) Method for determining robot alignment
US7008884B2 (en) Transfer robot and inspection method for thin substrate
US6516244B1 (en) Wafer alignment system and method
US6648730B1 (en) Calibration tool
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
TWI390660B (zh) 用於半導體晶圓對準之方法與設備
JP2007059640A (ja) 外観検査装置
US7493231B2 (en) Process for determining the actual position of a rotation axis of a transportation mechanism
JP3138391B2 (ja) 位置合わせ装置及び位置合わせ方法
TW202345211A (zh) 基板處理裝置及基板處理方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20131227

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141230

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161229

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180110

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190102

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200102

Year of fee payment: 10