KR101163237B1 - 웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법 - Google Patents

웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법 Download PDF

Info

Publication number
KR101163237B1
KR101163237B1 KR1020057016867A KR20057016867A KR101163237B1 KR 101163237 B1 KR101163237 B1 KR 101163237B1 KR 1020057016867 A KR1020057016867 A KR 1020057016867A KR 20057016867 A KR20057016867 A KR 20057016867A KR 101163237 B1 KR101163237 B1 KR 101163237B1
Authority
KR
South Korea
Prior art keywords
wafer
robot
camera
motion correction
correction method
Prior art date
Application number
KR1020057016867A
Other languages
English (en)
Other versions
KR20050105517A (ko
Inventor
이라즈 새딕히
제프 허드젠스
마이클 라이스
게리 위카
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20050105517A publication Critical patent/KR20050105517A/ko
Application granted granted Critical
Publication of KR101163237B1 publication Critical patent/KR101163237B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1679Programme controls characterised by the tasks executed
    • B25J9/1692Calibration of manipulator
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/45Nc applications
    • G05B2219/45031Manufacturing semiconductor wafers

Abstract

처리 시스템 내에 배치된 로봇의 운동을 교정하기 위한 시각화 시스템 및 방법이 제공된다. 일 실시예에서, 처리 시스템을 위한 시각화 시스템은 처리 시스템 내에 위치한 카메라와 교정 웨이퍼를 포함한다. 카메라는 로봇 상에 위치하고 처리 시스템의 미리 정의된 위치에 배치된 교정 웨이퍼의 이미지 데이터를 얻는다. 이미지 데이터는 로봇 운동을 교정하는데 이용된다.

Description

웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법{VISION SYSTEM AND METHOD FOR CALIBRATING A WAFER CARRYING ROBOT}
본 출원서는 2002년 4월 19일자로 출원된 공동 계류중인 미국 특허출원 제10/126,493호의 일부 계속 출원이며 상기 특허출원은 본 명세서에 그 전체로서 참조로 포함된다.
본 발명의 실시예들은 대체로 처리 시스템을 검사하기 위한 시각화 시스템 및 방법 그리고 처리 시스템 내의 물체의 위치를 결정하는 방법에 관한 것이다.
자동화 처리 시스템에서 로봇의 사용이 점차 일반화되고 있다. 보통 로봇은 인간 노동력을 사용하여 달성할 수 없는 정밀도와 효율을 대체로 가지며 반복적인 작업을 수행할 수 있다. 더욱이, 로봇은 이동하는 부품 또는 민감한 환경에 근접하여 인간 노동력을 사용하는 것이 바람직하지 않은 위치에 사용될 수 있다.
특히 이는 잘못 위치하거나 위치를 벗어난 기판이 비용적인 손해 및/또는 계획되지 않은 시스템 관리를 유발하는 반도체 처리 시스템에서 매우 중요하다. 오정렬된 기판은 종종 손상을 받으며 다른 기판 또는 장비에 손상을 끼치거나, 오정렬로 인해 불량하게 처리되고 폐기될 수 있다. 예컨대, 반도체 처리 시스템 로봇의 엔드 이펙터(end effector) 상에 배치된 기판은 로봇에 고정된 기판의 이동 동안 오정렬된 기판과 접촉할 수 있다. 만약 기판이 서로 접촉하면, 하나 또는 두 개의 기판이 손상될 수 있다. 더욱이, 만약 하나 또는 두 개의 기판이 제거되려면, 시스템은 공정이 더 진행하기 전에 기판 제거를 위해 정지되어야 한다. 만약 제거된 기판의 복구가 진공 상태에서 동작하는 시스템 내부로의 접근을 필요로 한다면, 제조 시간은 영향을 받은 챔버 내에서 진공 환경의 오염물 제거 및 재설정을 위해 낭비될 것이다.
로봇에 의해 이동된 기판의 정밀한 위치설정을 보장하기 위해, 통상적으로 로봇의 엔드 이펙터의 원하는 또는 미리 설정된 위치에 대한 기준 지점 또는 좌표가 교정(calibration) 공정의 일부로서 로봇 제어기 메모리에 입력된다. 일반적으로 기준 좌표를 획득하는 것은 수동 또는 자동 시퀀스를 통해 엔드 이펙터를 미리 설정된 위치로 조깅시키는(jog) 것을 대체로 포함한다. 로봇의 엔드 이펙터가 미리 설정된 위치에 도착하면 엔드 이펙터 위치를 수동으로 관찰하거나 엔드 이펙터(또는 로봇의 다른 부품)가 경계 스위치와 같은 센서를 트리거함으로써 확인될 수 있다. 이러한 시퀀스는 통상적으로 시스템 전체의 로봇 운동 범위 내의 각각의 중요 위치에 대한 모든 기준 좌표가 설정될 때까지(로봇 또는 로봇 제어기의 메모리에 입력될 때까지) 반복된다. 일단 기준 좌표가 설정되면, 로봇은 기준 좌표로 복귀함으로써 엔드 이펙터를 정확하고 정밀하게 중요 위치로 이동시킬 수 있다.
많은 반도체 처리 시스템에서, 로봇 엔드 이펙터의 조깅과 엔드 이펙터가 기준 좌표에 도달한 것을 확인하는 것은 수동으로 이루어진다. 조작자는 엔드 이펙터의 위치를 시각적으로 평가하기 위해 처리 시스템 내의 물체 또는 타겟에 대한 엔드 이펙터의 위치를 관찰해야 한다. 이러한 작업을 수행할 때 엔드 이펙터를 적절하게 보기 위하여, 처리 시스템은 통상적으로 주위 환경에 개방된다. 이는 사람이 다치거나 시스템 손상이 발생할 수 있는 로봇의 운동 범위에 노출된 위치에 조작자가 바람직하지 않게 위치하게 한다. 따라서, 조작자가 손상받을 가능성을 방지하기 위해, 처리 시스템은 정상적으로 정지되어 로봇이 조작자와 부정적으로 가능한 접촉하지 않게 하여 제품, 도구 또는 조작자에 손상을 주지않게 한다. 시스템이 주위 환경에 노출됨에 따라, 오염물 제거 과정은 처리 전에 수행되어야 한다. 더욱이, 시스템을 동작 압력으로 복귀시키기 위해 오랫동안 펌핑-다운이 수행되어야 한다. 시스템이 정지한 시간 동안, 웨이퍼는 처리되지 않으며 소중한 제조 시간도 낭비된다. 이는 모두 제조 능력의 바람직하지 않은 손실을 유발하며, 재교정이 필요할 때마다 추가의 능력 손실이 발생한다.
따라서, 물체의 위치를 결정하기 위한 개선된 교정 및 방법이 필요하다.
본 발명의 일 태양은 대체로 처리 시스템 내에 배치된 로봇의 운동을 교정하는 시각화 시스템 및 방법을 제공한다. 일 실시예에서, 처리 시스템을 위한 시각화 시스템은 처리 시스템 내에 위치된 카메라 및 교정 웨이퍼를 포함한다. 카메라는 로봇 상에 위치되고 처리 시스템 내의 미리 정의된 위치에 배치된 교정 웨이퍼의 이미지 데이터를 획득한다. 이미지 데이터는 로봇 운동을 교정하는데 활용된다.
이상 간단히 요약된, 본 발명의 특정 설명은 첨부된 도면에 도시된 실시예를 참조하여 이루어진다. 그러나, 첨부된 도면은 단지 본 발명의 일반적인 예일 뿐이기 때문에 본 발명의 범위를 제한하지 않으며, 여러 동일한 효과를 갖는 실시예들이 허용될 수 있다.
도 1은 클러스터 툴 및 시각화 시스템의 간략화된 평면도이다.
도 2a는 로봇 엔드 이펙터 상에 배치된 카메라 어셈블리의 일 실시예에 대한 전면도이다.
도 2b는 로봇 엔드 이펙터 상에 배치된 카메라 어셈블리의 선택 실시예에 대한 전면도이다.
도 2c는 엔드 이펙터의 일 실시예에 대한 평면도이다.
도 3a-b는 도킹 스테이션의 일 실시예에 대한 평면도 및 단면도이다.
도 4는 도 1의 시각화 시스템의 작동모드의 흐름도를 도시한다.
도 5는 도 1의 시각화 시스템의 또 다른 작동모드의 흐름도를 도시한다.
도 6은 도 1의 시각화 시스템의 또 다른 작동모드의 흐름도를 도시한다.
도 7은 시각화 시스템의 또 다른 실시예를 도시한다.
도 8은 짐벌(gimbal) 시스템을 갖는 카메라 어셈블리의 일 실시예에 대한 평면도이다.
도 9는 도 8의 라인 9--9를 따라 절취한 짐벌 시스템의 단면도이다.
도 10은 도 8의 라인 10--10을 따라 절취한 짐벌 시스템의 단면도이다.
도 11은 카메라 어셈블리의 또 다른 실시예에 대한 단면도이다.
도 12는 시각화 시스템의 또 다른 작동모드를 도시하는 도 1의 처리 시스템의 부분 단면도이다.
이해를 돕기 위해, 도면들 중에서 공통적인 동일 부재를 지칭하기 위하여 가능한 동일한 참조 번호를 사용하였다.
본 발명은 대체로 반도체 처리 시스템 및 관련 장비 내의 이미지를 포착하기 위한 시각화 시스템을 제공한다. 이미지는 로봇 엔드 이펙터의 위치를 교정하고 시스템 검사를 위해 사용될 수 있다. 본 발명은 반도체 처리 시스템 또는 클러스터 툴 내의 로봇 엔드 이펙터의 위치를 결정하는 것과 관련하여 하기에서 예시적으로 설명된다. 그러나, 본 발명은 주위(즉, 주변) 환경에 시스템을 개방시킬 필요없이 반도체 처리 시스템 내의 다양한 검사 및/또는 교정 기능을 수행하는데 사용될 수 있다고 이해되어야 할 것이다. 더욱이, 본 발명은 이동 카메라로부터 얻어진 이미지가 필요한 화학 기계적 연마 시스템, 전기 화학적 증착 및 연마 시스템과 같은 기타 반도체 처리 시스템 구성물에 사용된다.
도 1은 처리 시스템(190) 내의 이미지를 포착하는데 사용될 수 있는 시각화 시스템(150)을 포함하는 예시적인 처리 시스템(190)의 일 실시예를 도시한다. 시각화 시스템(150)은 일반적으로 카메라 어셈블리(100)에 의해 보여지는 이미지를 처리 및/또는 디스플레이하기 위한 카메라 어셈블리(100)와 제어기(140)를 포함한다. 카메라 어셈블리(100)는 시스템(190)의 하나 이상의 기판 이송 로봇에 의해 시스템(190) 주위로 수송되도록 구성된다. 따라서, 카메라 어셈블리(100)에 의해 제어기(140)로 공급된 이미지는 시스템(190)의 내부를 주위 환경에 노출시킬 필요없이 교정 목적 및/또는 시각화 챔버 검사를 위해 로봇의 위치를 결정하는데 사용될 수 있다. 또한 카메라 어셈블리(100)로부터 얻어진 이미지는 다른 목적을 위해 사용될 수 있다.
도 1에 도시된 예시적인 처리 시스템(190)은 복수의 처리 챔버(192)들이 연결된 중앙 이송 챔버(194)를 포함한다. 처리 챔버(192)는 이들에 제한되지 않지만 화학 기상 증착 챔버, 원자층 증착 챔버, 물리 기상 증착 챔버, 오리엔테이션 챔버, 가스제거 챔버, 사전-세정 챔버, 에칭 챔버 및 열처리 챔버를 포함하는 반도체 처리와 관련된 임의 타입의 처리 챔버일 수 있다. 이러한 처리 챔버의 예는 캘리포니아, 산타 클라라에 위치한 어플라이드 머티어리얼스 회사로부터 이용가능하며, 어플라이드 머티어리얼스 회사로부터 이용가능한, 예컨대, PRODUCER®, ENDURA® 및 CENTURA® 계열의 처리 플랫폼과 같은 이송 챔버와 함께 사용될 수 있다.
포트(188)는 처리 챔버(192)로부터 기판(및 카메라 어셈블리(100))의 진입과 배출이 가능하도록 각각의 처리 챔버(192)와 이송 챔버(194) 사이에 형성된다. 포트(188)는 (도 1에서 간략화를 위해 생략된) 슬릿 밸브에 의해 선택적으로 밀봉된다. 엔드 이펙터(198)를 갖는 이송 로봇(196)은 주위 처리 챔버(192)로의 기판(및 카메라 어셈블리(100))의 이송이 용이하도록 이송 챔버(104)의 중앙에 배치된다. 이용될 수 있는 이송 로봇의 일 예는 역시 어플라이드 머티어리얼스 회사로부터 이용할 수 있는 VHP® 로봇이다. 다른 로봇도 사용될 수 있다.
하나 이상의 로드 락 챔버(184)는 이송 챔버(104)와 팩토리 인터페이스(180) 사이에 결합된다. 두 개의 로드 락 챔버(184)가 도 1에 도시된 실시예에 도시되어 있다. 로드 락 챔버(184)는 이송 챔버(194)의 진공 환경과 팩토리 인터페이스(180)의 실질적인 주위 환경 사이에서 기판 이송을 용이하게 한다. 이용가능한 로드 락 챔버의 일 예는 2001년 8월 7일자로 발행된 리브킨 등의 미국 특허 제6,270,582호에 개시되어 있으며, 본 명세서에 그 전체로서 참조로 포함된다.
팩토리 인터페이스(180)는 인터페이스 로봇(182)을 가지며 기판 저장 카세트(174)를 수용하는 복수의 베이(bay)들(178)을 포함한다. 각각의 카세트(174)는 내부에 복수의 기판(174)을 저장하도록 구성된다. 팩토리 인터페이스(180)는 대체로 대기압에서 또는 그 부근에서 유지된다. 일 실시예에서, 여과된 공기가 팩토리 인터페이스 내의 입자 집중을 최소화하고 상응하는 기판 청결을 위해 팩토리 인터페이스(180)에 공급된다. 본 발명으로부터 이익을 얻을 수 있는 팩토리 인터페이스의 일 예는 1998년 9월 28일자로 크뢰커가 출원한 미국 특허출원 09/161,970에 개시되어 있으며, 상기 출원서는 본 명세서에 그 전체로서 참조로 포함된다.
인터페이스 로봇(182)은 상기 설명한 이송 로봇(196)과 대체로 유사하다. 인터페이스 로봇(182)은 이송 로봇(196)의 엔드 이펙터(198)과 유사한 엔드 이펙터를 포함하며, 이로 인해 동일한 참조 번호를 부여하였다. 인터페이스 로봇(182)은 카세트(176)와 로드 락 챔버(184) 사이에서 기판을 이송한다.
도킹 스테이션(172)은 팩토리 인터페이스(180) 내에 배치될 수 있다. 도킹 스테이션(172)은 시스템(190) 내의 카메라 어셈블리(100)를 위한 저장 영역을 제공하여 카메라 어셈블리(100)가 카세트(174) 또는 기타 접근 포트를 통해 시스템(190) 내로 도입될 필요없이 교정, 재교정 또는 검사 처리가 용이하게 한다. 선택적으로, 도킹 스테이션(172)은 시스템(190) 내의 다른 위치에 위치할 수 있다. 또 다른 실시예에서, 카메라 어셈블리(100)는 시스템(190)으로부터 도입 또는 제거가능하도록 카세트(174) 내에 저장될 수 있다. 선택적으로, 카메라 어셈블리(100)는 사용되지 않을 때 시스템(190)으로부터 제거될 수 있다. 도킹 스테이션(172)의 일 실시예는 도 3a-b를 참조하여 하기에서 추가로 설명된다.
카메라 어셈블리(100)는 로봇(196,182)에 의해 이송되기 때문에, 엔드 이펙터(198)의 위치 교정은 처리 시스템(190) 내의 임의의 위치에서 얻어질 수 있다. 예컨대, 카메라 어셈블리(100)는 처리 챔버(192), 이송 챔버(194) 또는 로드 락 챔버(184) 중 임의의 챔버 내에서 이송 로봇의 위치를 교정하여 그 내부에서 기판의 정확하고 반복가능한 배치를 보장하는데 사용될 수 있다. 카메라 어셈블리(100)는 기판 저장 카세트(176), 로드 락 챔버(184) 또는 도킹 스테이션(172) 중 임의의 챔버에서 팩토리 인터페이스 로봇(182)의 엔드 이펙터(198)의 위치를 교정하는데 사용될 수 있다. 기판의 정확한 위치 설정은 기판 오정렬로 인한 기판 및 장비의 손상을 감소시키면서 처리 반복성을 강화시킨다. 더욱이, 카메라 어셈블리(100)의 이동성은 이송 및 처리 챔버(194,192) 내의 진공 손실 또는 사람을 다치게 할 위험 없이 처리 시스템(190) 내부의 교정 및 시각 검사를 가능하게 한다. 또한, 처리는 검사/교정이 수행되는 동안 계속될 수 있기 때문에, 생산성이 높아진다.
카메라 어셈블리(100)는 카메라(104), 전력원(138), 및 위치설정 플레이트(106) 상에 배치된 전송기(156)를 포함한다. 카메라 어셈블리(100)는 시스템(190) 내의 다양한 슬릿 밸브와 포트를 통해 이송시킬 수 있는 높이를 가지며 배치될 때 로봇(196)의 엔드 이펙터(198)가 과도하게 휘어지지 않도록 기판과 유사한 질량을 갖는다.
위치설정 플레이트(106)는 통상적으로 알루미늄, 스테인레스 강, 플라스틱 또는 기타 견고한 재료로 만들어진다. 카메라 어셈블리(100)가 예컨대 섭씨 약 350도 이상의 온도로 화학 기상 증착을 수행하는 처리 챔버(192)에서 고온에 영향을 받는 실시예에서, 바람직하게는 위치설정 플레이트(106)는 작은 열팽창 계수를 갖는 비전도성 재료로 만들어진다. 위치설정 플레이트(106)는 이송 로봇(196)의 엔드 이펙터(198) 상에서 카메라(104)를 지지하도록 구성된다.
위치설정 플레이트(106)는 이송동안 로봇으로부터 쉽게 벗어나는 일 없이 엔드 이펙터(198) 상의 카메라(104)를 지지하기에 충분한 임의의 모양 또는 형상을 가질 수 있다. 일 실시예에서, 위치설정 플레이트(106)의 적어도 주변부는 종래 기판과 모사되도록(즉, 거의 동일하게) 구성된 반경을 갖는다. 예컨대, 위치설정 플레이트(106)는 300mm, 200mm 또는 100mm 기판의 크기와 모사되도록 적어도 약 150mm, 약 100mm 또는 약 50mm 반경을 갖는 주변부를 적어도 포함할 수 있다. 위치설정 플레이트(106)의 대안적인 구성은 다각형 평판을 포함한 기타 표준, 종래 또는 일반적인 크기의 기판을 모사할 수 있다.
카메라(104)는 처리 시스템(190) 내의 이미지를 포착한다. 카메라(104)는 단일 또는 비디오 이미지들을 제공한다. 일 실시예에서, 카메라는 뉴저지, 배링턴에 위치한 에드문트 인더스트리얼 옵틱스 회사로부터 이용할 수 있는 모노크롬 보드 장착 카메라이다.
전력원(138)은 대체로 카메라(104)와 전송기(156)에 전력을 제공한다. 전력원(138)은 시설 전력과 같이 원격에 있거나 배터리와 같이 카메라 어셈블리(100) 상에 자체-내장될 수 있다.
일 실시예에서, 전력원(138)은 진공 환경에 사용하기에 적합한 배터리이다. 바람직하게, 전력원(138)은 섭씨 약 200도 이상의 온도에서의 간헐적인 용도에 적합하다. 전력원(138)은 사우스웨스트 일렉트로닉 에너지 코포레이션 회사로부터 이용할 수 있는 배터리 모델번호 3S1P 이다.
전송기(156)는 카메라(104)에 의해 보여지는 이미지를 나타내는 신호를 발생시킨다. 전송기(156)는 로봇을 통해 연장하는 제어배선을 통해 또는 방송신호(즉, 무선신호)를 이용하여 제어기로 신호를 제공할 수 있다. 사용될 수 있는 전송기는 수퍼서킷 회사로부터 이용할 수 있는 MVT-10이다.
제어기(140)는 카메라(104)에 의해 보여지는 이미지를 전송기(156)로부터 수신한다. 제어기(140)는 중앙처리장치(CPU)(144), 지원 회로(146) 및 메모리(142)를 포함한다. CPU(144)는 다양한 챔버 및 하위프로세서를 제어하기 위한 산업적인 설정에 사용될 수 있는 임의 형태의 컴퓨터 프로세서 중 하나일 수 있다. 메모리(142)는 CPU(144)에 결합된다. 메모리(142) 또는 컴퓨터-판독 매체는 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피디스크, 하드디스크, 또는 임의의 다른 형태의 국부적인 또는 원격적인 디지털 저장기와 같은 하나 이상의 용이하게 이용가능한 메모리일 수 있다. 지원 회로(146)는 종래 방식으로 프로세서를 지원하기 위해 CPU(144)에 결합된다. 이들 회로는 캐시, 전력공급기, 클럭회로, 입/출력회로, 하위시스템 등을 포함한다.
수신기(154)는 전송기(156)에 의해 발생된 신호를 제어기(140)로 용이하게 전달하도록 제어기(140)에 결합된다. 사용될 수 있는 수신기는 수퍼서킷 회사로부터 이용할 수 있는 MVR-10이다. 선택적으로, 모니터(148)는 카메라(104)로부터의 이미지를 보기 위해 제어기(140)에 결합될 수 있다.
도 2a는 위치설정 플레이트(106) 아래에 배치된 엔드 이펙터(198)에 의해 지지된 카메라 어셈블리(100)의 평면도를 도시한다. 도 2a에 도시된 것처럼, 위치설정 플레이트(106)는 로봇의 엔드 이펙터(198)를 갖는 위치설정 플레이트(106) 사이의 위치 정확도를 강화시키기 위해 로봇의 엔드 이펙터(198) 내에 형성된 각각의 홀(204)과 접하는(interface) 하나 이상의 위치설정 핀(202)을 포함한다. 핀(202)은 엔드 이펙터(198)와 면하는 위치설정 플레이트(106)의 제 1 측부(206)로부터 연장하지만, 플레이트(106)의 마주하는 제 2 측부(208)는 전송기(156)를 지지한다.
위치설정 플레이트(106)는 엔드 이펙터(198)를 통해 형성된 대응하는 개구(222)와 정렬하도록 위치설정 플레이트를 관통하여 배치된 개구(210)를 추가로 포함한다. 개구(210)는 카메라(104)와 마주하는 플레이트(106)의 제 1 측부(206) 상의 물체 도시를 용이하게 하는 플레이트(106)의 투명부 또는 플레이트(106) 내에 형성된 홀일 수 있다. 도 2a에 도시된 실시예에서, 개구(210)는 카메라(104)의 렌즈(216)가 위치설정 플레이트(106)를 통해 제 1 측부(206) 아래 위치까지 연장할 수 있게 한다. 렌즈(216)는 카메라(104)에 의해 도시될 물체가 포커싱되게 하는 초점 심도(depth of field)(218)를 갖도록 선택된다. 일 실시예에서, 렌즈(216)는 초점 길이가 4.7mm인 렌즈이며 에드문트 인더스트리얼 옵틱스 회사로부터 이용할 수 있다.
도 2b에 도시된 카메라 어셈블리(100)의 또 다른 실시예에서, 개구(210)는 아크릴, 석영 또는 폴리 카보네이트와 같은 투명 재료로 만들어진 창(212)으로 채워진다. 선택적으로, 전체 위치설정 플레이트(106)는 투명 재료로 만들어질 수 있다.
카메라(104)는 창(212) 위에 렌즈(216)를 위치시키도록 위치설정 플레이트(106)의 제 2 측부(208) 상에 위치한다. 이러한 위치에서, 카메라(104)는 시스템(190) 내의 엔드 이펙터(198)의 위치를 나타내는 이미지를 획득하기 위해 개구(210)/창(212)을 통해 도 1에 도시된 기판 지지부(186)와 같은 물체를 볼 수 있다. 선택적으로, 카메라(104)는 위치설정 플레이트(106)의 제 2 측부(208) 상의 이미지를 보도록 마주하는 방향으로 면하는 위치설정 플레이트(106)에 장착될 수 있으며, 이로써 처리 시스템(190)의 상부 영역이 여러 챔버 뚜껑을 제거하지 않고 검사될 수 있다.
창(212)은 선택적으로 표시부(214)를 포함할 수 있다. 표시부(214)는 개구(210) 및 창(212)을 통해 카메라(104)로부터 얻어진 이미지에 대한 기준 또는 "십자선"을 제공한다. 표시부(214)는 원, 십자 또는 기준 지점을 나타내기에 적합한 다른 부호가 될 수 있다. 표시부(214)는 이미지가 비교될 수 있는 기준을 제공하는데 사용될 수 있다. 표시부(214)가 개구에서 사용되는 실시예에서, 렌즈(216)는 표시부(214)를 포함하는 초점 심도(218)를 제공하도록 선택된다.
도 2a를 다시 참조하면, 전력원(138)에 의해 전력이 공급되는 조명(220)은 플레이트(106)의 제 1 측부(206) 아래의 물체를 조명하기 위해 위치설정 플레이트(106)에 결합될 수 있다. 조명(220)은 조명(220)에 의해 발생된 빔이 개구(210) 아래의 물체 또는 표면을 조명할 수 있도록 개구(210)의 측부에 위치한다. 일 실시예에서, 조명(220)은 (도 2c에 도시된 엔드 이펙터(198)의 일 실시예의 평면도에서 보이는 것처럼) 위치설정 플레이트(106)의 홀(224)을 통해 연장하는 발광 다이오드이다. 조명(220)은 엔드 이펙터(198)에 형성된 홀(226)을 통과하거나, 엔드 이펙터(198)의 외측부에 배치되며, 엔드 이펙터(198) 아래로 연장하도록 구성될 수 있다.
스위치(240)는 카메라 어셈블리(100)가 활성화될 수 있도록 위치설정 플레이트(106)에 결합된다. 스위치(240)는 수동 온/오프 스위치이거나, 제어기 또는 다른 수단에 의해 자동으로 스위칭될 수 있다. 일 실시예에서, 스위치(240)는 접근 센서, 광학 센서, 제한 스위치, 또는 엔드 이펙터(198)가 카메라 어셈블리(100) 아래에 배치될 때 위치설정 플레이트(106)의 제 1 측부(206)에 대한 또는 그에 인접한 엔드 이펙터(198)의 존재를 감지하는 다른 센서/스위치이다. 이는 카메라 어셈블리(100)가 로봇의 엔드 이펙터(198)에 의해 지지될 때 조명(220), 카메라(104) 및 전송기(156)를 활성화시켜 배터리 전력을 보호하도록 한다.
도 3a-b는 전력원(138)의 배터리 수명을 연장시키는 도킹 스테이션(172)의 일 실시예를 도시한다. 도킹 스테이션(172)은 사용중이 아닐 때는 카메라 어셈블리(100)를 지지하도록 구성되며 크래들(302) 및 충전 메커니즘(320)을 포함한다. 크래들(302)은 그 위에서 카메라 어셈블리(100)를 지지하도록 구성된다. 크래들(302) 형상은 위치설정 플레이트(106)를 위해 선택된 구성에 크게 의존하기 때문에, 크래들(302)은 카메라 어셈블리(100)를 견고하게 보유하지만 인터페이스 로봇(182)의 엔드 이펙터(198)는 카메라 어셈블리(100)를 위치시키고 그로부터 복귀시킬 수 있게 여러가지로 변형되어 구성될 수 있다.
일 실시예에서, 크래들(302)은 알루미늄, 스테인레스 강 또는 폴리머와 같은 단단한 재료로 제조되며, 장착부(304) 및 장착부(304)로부터 캔틸레버식으로(cantilevered) 연장하는 지지부(306)를 포함한다. 장착부(304)는 복수의 패스너(308)에 의해 팩토리 인터페이스(180)에 결합된다.
지지부(306)는 사용되지 않을 때 카메라 어셈블리(100)를 지지하기 위하여 이격되게 장착부(304)로부터 연장하는 제 1 암(310)과 제 2 암(312)을 포함한다. 암(310,312)은 로봇(182)의 엔드 이펙터(198)가 그 사이를 통과하도록 이격되어, 엔드 이펙터(198)가 카메라 어셈블리(100)를 위치시키고, 크래들(302)과 접촉하지 않고 지지부(306)의 암(310,312)으로부터 카메라 어셈블리(100)를 복귀시키는 것을 허용한다.
각각의 암(310,312)은 한 쌍의 지지 포스트(314)를 포함한다. 각각의 지지 포스트(314)는 카메라 어셈블리(100)를 지지하기 위한 시트(316) 및 시트(316) 상에 카메라 어셈블리(100)를 유지시ㅋ기 위해 카메라 어셈블리(100)를 보호하는 립(lip)(318)을 포함한다.
선택적으로, 크래들(302)은 충전 메커니즘(320)을 포함할 수 있다. 충전 메커니즘(320)은 카메라 어셈블리(100)의 전력원(138)을 재충전하고 사용 간에 크래들(302) 상에 저장된다. 일 실시예에서, 충전 메커니즘(320)은 시스템(190) 외부에 배치된 충전기(324)에 결합되는 한 쌍의 콘택 핀(322)을 포함한다. 콘택 핀(322)은 액추에이터(326)에 의해 장착부(304)로부터 연장하는 탭(328)에 결합된다.
접근센서 또는 제한스위치와 같은 센서(330)는 카메라 어셈블리(100)의 존재를 검출하기 위해 크래들(302)에 결합된다. 카메라 어셈블리(100)가 검출될 때, 액추에이터(326)는 카메라 어셈블리(100)에 배치된 한 쌍의 도전성 콘택 패드(332)와 접촉하여 콘택 핀(322)을 이동시킨다. 콘택 패드(332)는 전력원(138)의 각각의 폴(pole)에 결합되고, 이로써 전력원(138)을 콘택 핀(322)을 통해 충전기(324)에 전기적으로 커플링시켜 사용 간에 전력원(138)을 재충전한다. 일단 전력원(138)이 완전히 충전되거나, 제어기(140)가 카메라 어셈블리(100)를 복귀시키도록 로봇(182)을 제어하면, 액추에이터(326)는 로봇(182)이 핀(322)과 접촉하지 않으면서 도킹 스테이션(172)으로부터 카메라 어셈블리(100)를 상승시킬 수 있도록 카메라 어셈블리(100)로부터 핀(322)을 상승시킨다.
제어기(140)는 일단 전력원(138)이 미리 설정된 충전 레벨로 복귀하면 충전이 종료되도록 전력원(138)의 충전을 모니터링하도록 구성될 수 있다. 대안적으로, 도킹 스테이션(172)에 부분적으로 장착된 전용 논리회로(미도시)와 같은 다른 수단이 콘택 핀(322)의 활성화를 제어함에 따라 변화를 제어 및/또는 모니터링하는데 사용될 수 있다.
도 1을 다시 참조하면, 제어기(140)는 카메라(104)에 의해 보여지는 이미지 정보를 수신한다. 이미지 정보는 엔드 이펙터의 위치를 결정하고 및/또는 처리 시스템의 일부에 대한 시각화를 제공하도록 제어기(140)에 의해 처리될 수 있다. 도 1에 도시된 실시예에서, 제어기(140)는 조작자가 이미지(152)를 용이하게 볼 수 있도록, 예컨대 처리 챔버(192)들 중 하나에 배치된 기판 지지부(186)의 이미지와 같은 이미지(152)를 모니터(148) 상에 디스플레이한다.
일 작동 모드에서, 모니터(148) 상에 디스플레이된 이미지(152)는 미리 정의된 위치 또는 타겟, 예컨대 기판 지지부(186)에 형성된 진공 포트 위에 이미지에 엔드 이펙터(198)를 위치시키도록 로봇(196)을 수동으로 조깅시키는데 사용될 수 있으며, 이의 이미지는 포트 이미지(170)로서 모니터(148) 상에 디스플레이된다. 엔드 이펙터(198)를 필요한 거리만큼 용이하게 이동시키기 위해서, 디스플레이(150)는 선택적으로 그리드(158)를 투영(project)할 수 있다. 그리드(158)는 각 축을 따라 포트 이미지(170)와 표시부의 이미지(160) 사이의 그리드 라인들의 개수를 카운트함으로써 타겟 이미지, 즉 포트 이미지(170) 및 표시부의 이미지(160) 사이의 거리가 해상되도록 구성된다.
도 4는 미리 정의된 위치에 엔드 이펙터를 위치시키는 로봇 기준 좌표를 발견하는데 사용될 수 있는 교정 과정(400)의 일 실시예를 도시한 흐름도이다. 이러한 위치는 기판이 위치하거나 시스템(190)의 로봇에 의해 복귀되는 임의의 위치를 포함하지만, 반드시 그러한 위치에 제한되는 것은 아니다. 비록 과정(400)은 이송 로봇(198)의 엔드 이펙터(198)를 처리 챔버(194) 중 하나의 기판 지지부(186)와 정렬되는 것으로 개시되었지만, 과정(400)은 로봇 위치가 해당 위치에서 교정되도록 임의의 시스템 로봇의 운동 범위 내의 다른 위치에 사용될 수 있다. 단계(402)에서, 카메라 어셈블리(100)는 이송 로봇(196)의 엔드 이펙터(198) 상에 위치된다. 이러한 단계는 먼 위치로부터 로봇(196)까지 카메라 어셈블리(100)를 이송시키는 단계를 포함한다. 단계(404)에서, 로봇(196)은 x/z 평면에서 처리 챔버(192) 내의 위치까지 조깅되어 기판 지지부(186)의 이미지(152)가 모니터(148) 상에 디스플레이되게 한다. 단계(406)에서, 로봇(196)은 이미지(152) 또는 타겟 예컨대 포트 이미지(170)의 미리 설정된 부분과 표시부(214)를 정렬시키도록 수동으로 x/z 평면으로 조깅된다. 단계(408)에서, 엔드 이펙터(198)의 정렬된 위치는 x/z 평면의 기준 좌표로서 기록된다.
일단 포트 이미지(170)와 표시부가 정렬되면, 엔드 이펙터(198)의 상승은 단계(410)에서 y 축을 따라 로봇(196)의 엔드 이펙터(198)를 조깅함으로써 미리 설정된 위치까지 이동된다. 미리 설정된 위치로의 도달은 단계(412)에서 표시부(152)의 상대적 크기를 포트 이미지(170)와 비교함으로써 결정될 수 있다. 이러한 비교는 로봇(196)의 엔드 이펙터(198)가 적절한 상승 위치에 있을 때 타겟(즉, 포트 이미지(170))의 크기 및/또는 형상을 매칭시키는 표시부(212)를 활용함으로써 용이하게 이루어질 수 있다. 단계(414)에서, 엔드 이펙터(198)의 상승은 y 축을 따라 기준 좌표로서 기록된다.
도 5는 미리 정의된 위치에 엔드 이펙터를 위치시키는 로봇 기준 좌표를 발견하는데 사용될 수 있는 교정 과정(500)의 또 다른 실시예를 도시하는 흐름도이다. 비록 과정(500)이 이송 로봇(198)의 엔드 이펙터(198)를 처리 챔버(194) 중 하나의 기판 지지부(186)와 정렬시키는 것으로 개시되었지만, 과정(500)은 해당 위치에 로봇 위치를 교정하도록 임의의 시스템 로봇의 운동 범위 내의 다른 위치에서 사용될 수 있다. 단계(502)에서, 카메라 어셈블리(100)는 이송 로봇(196)의 엔드 이펙터(198) 상에 위치된다. 단계(504)에서, 제어기(140)는 로봇(196)을 처리 챔버(192) 내의 위치로 이동시켜 기판 지지부(186)의 이미지(152)가 카메라(104)에 의해 보여지도록 한다. 단계(506)에서, 제어기(140)는 카메라(104)에 의해 보여진 이미지(104)를 제어기(140)의 메모리(142)에 저장된 기준 이미지와 비교한다. 단계(508)에서, 제어기(140)는 로봇(196)의 현재 위치와 X/Z 평면의 미리 정의된 위치 사이의 거리를 해석하여 이에 따라 엔드 이펙터(198)를 이동시킨다. 로봇(196)의 엔드 이펙터(198)는 엔드 이펙터(198)의 X/Z 기준 좌표가 단계(510)에서 제어기(140)에 의해 기록되는 미리 정의된 위치에 도달할 때까지 단계(506 및 508)가 반복된다.
일단 엔드 이펙터(198)의 X/Z 기준 좌표가 얻어지면, 단계(512)에서 엔드 이펙터(198)의 상승은 로봇(196)의 엔드 이펙터(198)를 y축을 따라 이동시킴으로써 미리 설정된 위치까지 이동된다. 미리 설정된 위치로의 도달은 단계(514)에서 카메라(104)에 의해 보여진 이미지의 상대적 크기를 기준정보와 비교함으로써 결정될 수 있다. 예컨대, 카메라(104)의 상승은 타켓 이미지의 복수의 픽셀이 미리 정의된 양과 동일할 때까지 조절될 수 있다. 대안적인 예에서, 타겟 이미지의 상대적 크기는 카메라(104)에 의해 보여진 표시부(212)와 비교될 수 있다. 로봇(196)의 엔드 이펙터(198)는 미리 설정된 Y 축 위치에 도달할 때, 단계(516)에서 엔드 이펙터(198)의 Y 기준 좌표가 제어기(140)에 의해 기록된다. X, Y 및 Z 기준 좌표는 임의의 순서로(동시 포함) 얻어질 수 있다.
도 6은 본 발명이 사용될 수 있는 또 다른 방법(600)을 도시한다. 단계(602)에서, 카메라 어셈블리(100)는 이송 로봇(196)(또는 시스템(190)의 다른 로봇)의 엔드 이펙터(198) 상에 위치된다. 단계(604)에서, 제어기(140)는 로봇(196)이 카메라 어셈블리(100)를 시스템(190)을 통해 미리 설정된 위치로 및/또는 미리 설정된 루트를 따라 이동할 수 있게 한다. 단계(606)에서, 이미지는 제어기(140)로 전송된다. 단계(608)에서, 전송된 이미지는 제어기(140)에 의해 해석된다. 예컨대, 이미지는 시스템(190) 내부의 시각 검사를 위해 모니터(148) 상에 디스플레이될 수 있다. 선택적으로, 이미지는 제어기(140)의 메모리(142) 내에 저장된 기준 이미지와 비교될 수 있다. 또한 이미지는 다른 목적, 예컨대 판매 또는 기술시연을 위해 사용될 수 있다.
도 7은 처리 시스템(750)의 이미지를 얻는데 사용될 수 있는 시각화 시스템(700)의 또 다른 실시예를 도시한다. 처리 시스템(700)은 도 1을 참조로 설명된 처리 시스템(190)과 실질적으로 유사하고, 따라서 간략히 하기 위해 내부에 이송 로봇(756)이 배치된 이송 챔버(754)에 결합된 단일 처리 챔버(752)만을 도시하였다.
일반적으로 시각화 시스템(700)은 제어기(702), 카메라(704) 및 반사기(706)를 포함한다. 반사기(706)는 카메라의 시야 외측에 있는 이미지가 카메라(704)에 의해 보여질 수 있는 방향으로 엔드 이펙터(758)에 결합된다. 반사기(706)는 엔드 이펙터(758)에 고정되거나, 접착되거나 기타 방법으로 부착될 수 있다. 선택적으로, 반사기(706)는 반사기(706)(및 위치설정 플레이트)가 사용되지 않을 때 엔드 이펙터로부터 제거될 수 있도록 상기 설명한 위치설정 플레이트(106)와 유사하게 구성된 위치설정 플레이트(710)에 결합될 수 있다.
도 7에 도시된 실시예에서, 반사기(706)는 엔드 이펙터(758)의 하측부(720)에 결합되고 반사면(708)을 포함한다. 반사면(708)은 통상적으로 연마된 스테인레스 강 또는 광학적으로 우수한 반사를 제공하는 다른 재료로 제조된다. 반사면(708)은 카메라(704)의 시야에 대해 약 45도의 방향을 갖는다. 따라서, 엔드 이펙터(758) 아래와 카메라 시야 외측에 있는 물체의 이미지는 처리 챔버(752)로부터 원격으로 위치한 카메라(704)에 의해 포착될 수 있다. 포착된 이미지는 상기 설명한 것처럼 검사 또는 교정을 위해 사용될 수 있다.
반사기(706)는 반사면(708)의 각방향을 바꿈으로써 시스템(750)의 미리 정의된 위치에서 카메라(704)가 물체를 볼 수 있도록 구성될 수 있다. 반사기(706)는 엔드 이펙터(758)의 위, 아래 또는 측부를 따라 이미지를 제공하도록 구성될 수 있다. 선택적으로, 반사기(706)는 카메라의 시야 외측의 이미지를 제공하는 프리즘, 렌즈 또는 다른 광학 장치일 수 있다.
선택적으로 반사기(706)는 반사기(706)가 엔드 이펙터(758)에 대해 이동하여 훨씬 많은 물체가 시계의 고정된 라인을 따라 카메라(704)에 의해 보여질 수 있게 하는 방식으로 위치설정 플레이트에 결합될 수 있다. 위치설정이 제어가능한 반사기는 도 11을 참조하여 하기에서 설명된다.
일반적으로 제어기(702)와 카메라(704)는 상기 설명한 제어기(140)와 카메라(104)와 유사하다. 카메라(704)는 통상적으로 로봇(756)의 엔드 이펙터(758)가 처리 챔버(752) 내로 삽입된 경우 처리 챔버(752) 외부에 남겨진(즉, 이송 챔버(754)에 남겨진) 이송 로봇(756)의 일부분에 장착된다. 처리 챔버(752)로 진입하지 않는 위치에 카메라(704)를 장착하는 것은 카메라를 손상시킬 수 있는 고온 환경에서 시각화 시스템(700)의 사용을 용이하게 한다. 따라서, 이미지는 냉각될 때까지 기다릴 필요없이 고온의 처리 챔버에서 얻어질 수 있다.
팩토리 인터페이스 로봇, 예컨대 도 1에 도시된 로봇(182)에 결합된 시각화 시스템(700)의 일 실시예에서, 카메라(704)는 인터페이스 로봇에 의해 액세스된 환경이 대체로 이송 로봇의 엔드 이펙터가 노출되는 환경보다 훨씬 개방될(hospitable) 수 있기 때문에 카메라의 시야 내에서 반사기(706)를 유지하는 인터페이스 로봇의 일부분에 결합될 수 있다.
일 실시예에서, 카메라(704)는 엔드 이펙터(758)를 이송 로봇(756)의 연결부(762)에 결합시키는 리스트(wrist)(760)에 결합된다. 선택적으로, 카메라(704)는 연결부(762)에 결합되거나 이송 챔버(760) 내에 고정되게 위치할 수 있다. 카메라(704)가 이송 로봇(756)을 통해 시스템(750)에 결합되기 때문에, 카메라(704)가 로봇(756) 및 이송 챔버(754)를 통해 제어기(702)로 배선될 수 있어 국부적인 전력원(712)과 전송기(714)는 필요하지 않다. 선택적으로, 상기 설명한 전력원(138) 및 전송기(156)와 유사한 전력원 및 전송기는 로봇(756)에 또는 시스템(750) 부근에서 카메라(704)에 결합될 수 있다.
도 8은 카메라 어셈블리(800)의 또 다른 실시예의 평면도이다. 카메라 어셈블리(800)는 카메라 어셈블리(800)의 카메라(104)가 카메라 어셈블리(800)에 이동가능하게 장착되었다는 것을 제외하면, 상기 설명한 카메라 어셈블리(100)와 유사하다. 카메라(104)는 카메라(104)를 지지하는 위치설정 플레이트(106)에 대해 카메라(104)의 시계 라인을 바꿈으로써 로봇 또는 엔드 이펙터(미도시)를 이동시키지 않고 대상물을 보도록 지향될 수 있다. 위치설정 플레이트(104)에 대한 카메라(104)의 이동은 짐벌 어셈블리(802)에 의해 용이해진다. 짐벌 어셈블리(802)는 카메라(104)의 방향을 바꿀 수 있는 임의의 장치, 예컨대 적어도 일 평면을 통해 카메라(104)의 시야를 바꿀 수 있는 볼 조인트, 통합 조인트 또는 기타 메커니즘일 수 있다.
도 8에 도시된 실시예에서, 짐벌 어셈블리(802)는 피봇 어셈블리(806)가 결합된 턴테이블 어셈블리(804)를 포함한다. 피봇 어셈블리(806)는 장착된 카메라(104)를 가지며 위치설정 플레이트(106)에 평행하게 배치된 축(808)에 대해 카메라(104)를 회전시키도록 구성된다. 턴테이블 어셈블리(804)는 축(808)에 수직하고 위치설정 플레이트(106)를 관통하는 개구(210)와 중심이 같은 축(810) 둘레를 회전하도록 구성된다. 턴테이블 어셈블리(804)는 카메라(104)를 축(810) 둘레에서 회전시키도록 구성된다.
추가로 도 9의 단면도를 참조하면, 턴테이블 어셈블리(804)는 턴테이블(816)을 보유하는 레이스(race)(814)를 포함한다. 턴테이블(816)은 구동 모터(820)와 서로 맞물리는 이빨모양의 주변부(818)를 갖는다. 구동 모터(820)는 턴테이블(816)의 회전 방향을 제어하도록 모터(820)에 명령을 제공하는 제어기(140)에 결합된다.
턴테이블(816)은 주변부(818)에 인접하여 결합된 탭(822)을 포함한다. 탭(822)은 위치설정 플레이트(106)에 결합된 액추에이터(826)의 피스톤(828)과 접하도록 구성되는 적어도 부분적으로 탭을 관통하도록 형성된 홀(824)을 포함한다. 턴테이블(816)이 미리 설정된 각방향에 있을 때, 피스톤(828)은 홀(824)과 맞물리도록 작동하여 축(810) 둘레에 턴테이블(816)의 위치를 록킹 또는 고정시킬 수 있다.
피봇 어셈블리(806)는 위치설정 플레이트(106)의 개구(210)와 정렬된 턴테이블(816)의 중심에 형성된 개구(838)에 걸쳐진 한 쌍의 브래킷(bracket)(830)을 갖는다. 카메라(104)는 축(808)을 따라 배치된 샤프트(832)에 의해 브래킷들(830) 사이에서 피봇식으로 지지된다. 샤프트(832)의 한 단부(end)는 턴테이블(816)에 결합된 구동 모터(836)와 접하는 기어(834)를 포함한다. 구동 모터(836)는 축(808) 둘레에서 브래킷(830)에 대한 카메라(104)의 회전 방향을 제어하도록 모터(836)에 명령을 제공하는 제어기(140)에 결합된다. 따라서, 턴테이블 어셈블리(804)와 피봇 어셈블리(804)는 카메라(104)가 이미지가 얻어질 수 있는 상부 반구 시계(UVOF) 및 하부 원뿔 시계(LFOV)를 갖도록 제어한다.
추가로 도 10을 참조하면, 기어(834)는 적어도 부분적으로 기어를 관통하도록 형성된 적어도 하나의 제 1 위치설정 홀(1002)을 포함한다. 홀(1002)은 턴테이블(816)에 결합된 액추에이터(1006)의 피스톤(1004)과 접한다. 기어(834)가 미리 설정된 각방향에 있을 때, 예컨대, 카메라(104)가 위치설정 플레이트(106)의 개구(210)를 관통하는 축(810)을 따라 포착할 때(즉, 면할 때), 피스톤(1004)은 홀(1002)과 맞물리도록 작동되고 이로써 축(808) 둘레에서 카메라(104)의 방향을 고정 또는 록킹(locking)한다. 홀(1008)에는 기어(834)를 더욱 견고하게 보유하도록 기어(834)의 홀(1002)을 통과한 후에 피스톤(1004)을 수용하도록 브래킷(830) 내에 제공될 수 있다. 대안적으로(또는 추가로), 제 2 홀(1010)은 상향 시계 위치로 카메라(104)가 향하도록 제 1 홀(1002)에 대해 축(808) 둘레의 180도 회전한 위치에서 기어(834)를 적어도 부분적으로 관통하도록 형성될 수 있다.
일 실시예에서, 짐벌 어셈블리(802)는 개구(210)를 통해 축(810)을 따라 보는 방향으로 카메라(104)를 보유하도록 피스톤(828,1004)을 작동시킴으로써 록킹될 수 있다. 이렇게 록킹된 조건에서, 로봇의 위치 교정은 상기 설명한 방법을 통해 정확하게 얻어질 수 있다. 더욱이, 고정되지 않은 위치에서, 실질적으로 전체 시스템으로부터 시야(view)를 획득하기 위해 로봇이 이동하지 않는 동안, 그리고 이동하는 동안 카메라(104)는 다양한 방향으로 선회될 수 있고, 이는 정상적인 처리 라우팅을 방해하지 않고 검사되는 시스템 영역 내에 진공 손실 없이 실질적으로 시스템 검사에 사용될 수 있다는 장점을 갖는다.
도 11은 도 7을 참조하여 상기에서 설명한 시각화 시스템(700)의 반사기(704) 대신에 사용될 수 있는 반사기 어셈블리(1100)를 도시한다. 반사기 어셈블리(1100)는 일반적으로 반사기 어셈블리(1100)의 짐벌 어셈블리(802)가 반사기(1102)의 방향을 제어한다는 것을 제어하면, 카메라 어셈블리(800)와 유사하다. 따라서, (도 7에 도시된) 카메라(704)는 화살표(1106)로 도시된 것처럼 카메라(104)에 대해 반사기(1102)의 각도/방향을 바꿈으로써 로봇 또는 엔드 이펙터(미도시)를 이동시켜 카메라의 시계 라인 외측에 있는 반사기(1102)에서 반사된 물체의 이미지를 볼 수 있다.
도 11에 도시된 실시예에서, 짐벌 어셈블리(802)는 위치설정 플레이트(106)에 배치되고 피봇 어셈블리(806)가 결합된 턴테이블 어셈블리(804)를 포함한다. 피봇 어셈블리(806)는 피봇 어셈블리에 장착된 반사기(1102)를 가지며 위치설정 플레이트(106)에 평행하게 배치된 축(808)에 대해 반사기(1102)를 회전시킨다. 턴테이블 어셈블리(804)는 축(808)에 수직인 축(810) 둘레를 회전한다. 턴테이블 어셈블리(804)는 축(810) 둘레에서 반사기(1102)를 회전시킨다. 턴테이블(804)과 피봇 어셈블리(806) 사이의 운동들의 조합은, 반사기(1102)의 방향이 제어기(140)에 의해 지시된 대로 위치함에 따라, 카메라(704)가 위치설정 플레이트(106)의 위, 아래 및 측부를 따라 물체의 이미지를 포착할 수 있도록 반사기(1102)의 반사면(1104)이 향하게 한다.
도 12는 반드시 이에 제한되지는 않지만, 상기 설명한 방법을 이용하여 얻어진 주요 위치 데이터의 정확도를 향상시키기 위해 교정 데이터를 얻는데 사용된 기판 지지부(186)에 배치된 교정 웨이퍼(1200)를 갖는 처리 시스템(190)의 부분 단면도이다. 기판 지지부(186)와 같은 또 다른 물체에 대한 엔드 이펙터(198)의 위치와 관련한 데이터 교정 후에 로봇(196)의 엔드 이펙터(198)에 의해 복귀된 교정 웨이퍼(1200)가 얻어진다. 도 12에 도시된 실시예에서, 카메라 어셈블리(100) 또는 이미지 데이터를 획득하기 위한 유사한 장치가 위치 데이터를 획득하기 위해 사용된다. 주요 데이터가 얻어진 카메라 어셈블리(100)의 위치는 P1으로 참조된다. 교정 웨이퍼(1200)는 기판 저장 카세트 중 하나에서, 처리 시스템 내에 부분적으로 저장되거나 필요할 때 처리 시스템으로 제공된다.
통상적으로 교정 웨이퍼(1200)는 종래 웨이퍼의 크기와 모양을 가지며, 석영, 실리콘, 스테인레스 강 또는 기타 적절한 재료로 제조될 수 있다. 교정 웨이퍼(1200)는 교정 웨이퍼(1200) 아래에 위치한 기판 지지부(186) 또는 기타 물체가 교정 웨이퍼(1200)를 통해 보여질 수 있도록 투명할 수 있다. 선택적으로, 교정 웨이퍼(1200)는 불투명하거나 비투과성일 수 있다.
교정 웨이퍼(1200)는 통상적으로 웨이퍼 중심인 교정 웨이퍼(1200)의 기준 지점을 식별하기 위한 표시부(1202)를 포함한다. 표시부(1202)는 교정 웨이퍼(1200)의 표면 내에 또는 상부에 스크라이빙(scribe)되거나, 인쇄되거나, 돌출하거나, 엠보싱되거나(embossed) 기타 방법으로 마킹될 수 있다. 또한 표시부는 노치, 평면, 홀, 슬롯, 경계부 또는 기타 기하학적 또는 시각적 피쳐와 같은 교정 웨이퍼(1200)의 물리적 특성일 수 있다. 이러한 방식에서, 보통의 제조 웨이퍼가 사용될 수 있다. 도 12에 도시된 실시예에서, 교정 웨이퍼(1200)는 교정 웨이퍼(1200)의 상부면(1204)의 중심에 위치한 인쇄된 표시부(1202)를 포함한다.
교정 웨이퍼(1200)가 기판 지지부(186) 상에 위치한 후에, 카메라 어셈블리(100)는 로봇(196)에 의해 복귀되고 교정 웨이퍼(1200)가 위에 안착된 기판 지지부(186) 위의 위치(P1)로 이송된다. 카메라 어셈블리(100)는 기판 지지부(186) 상의 미리 정의된 위치에 기판을 위치시키는데 사용된 기준 데이터의 교정을 결정하기 위해 제어기(140)에 제공된 데이터를 포착하고 전송한다.
일 예의 작동모드에서, 포착된 데이터는 기판 지지부 이미지(152) 및 교정 웨이퍼 이미지(1204)와 같이 모니터(148) 상에 디스플레이된 기판 지지부(186)와 교정 웨이퍼(1200)의 이미지를 포함한다. 조작자는 포트(미도시)의 포트 이미지(170)와 같이 교정 웨이퍼(1200)를 통해 보이는 기판 지지부(186)의 상부면 상의 중심에 있는 기준 물체와 표시부(1202)의 이미지(1206) 사이의 오프셋을 볼 수 있다. 오프셋으로부터, 조작자는 기판 지지부(186)의 중심에 교정 웨이퍼(1200)(또는 제조 웨이퍼)를 위치시키는데 필요한 주요 데이터를 위한 위치 교정을 결정할 수 있다. 선택적으로, 상기 설명한 것처럼, 제어기(140)는 기판 지지부(186) 상의 미리 설정된(즉, 중심의) 위치에 교정 웨이퍼(1200) 또는 제조 웨이퍼를 정확하게 위치시키는데 필요한 엔드 이펙터 위치설정에 필요한 교정을 결정하기 위해 기판 지지부(186)와 교정 웨이퍼(1200)의 이미지를 비교한다. 교정 웨이퍼(1200)를 사용하여 얻어진 위치 교정은 초기 시스템 교정 루틴의 일부로서 또는 때때로 수행되는 재교정 루틴의 일부로서 로봇의 운동을 교정하는데 사용될 수 있다.
또 다른 작동모드에서, 포착된 데이터는 주로 표시부(1202)의 이미지(1206)이다. 표시부(1202)의 이미지(1206)의 위치는 메모리에 저장된 포트 이미지(170)와 같은 저장된 기준 데이터와 시각적 또는 디지털 방식으로 비교되어 기판 배치의 교정이 엔드 이펙터(198)와 기판 지지부(186) 사이에서 장차의 기판 핸드오프를 위해 결정될 수 있게 한다.
따라서, 반도체 처리 시스템 내의 이미지 포착을 용이하게 하는 시각화 시스템이 제공된다. 시각화 시스템은 조작자와 최소로 통신하고 시스템의 내부를 주위 환경에 노출시키지 않으면서 교정 및 검사 과정을 수행할 수 있게 한다. 더욱이, 시각화 시스템은 기판 처리의 방해없이 얻어질 수 있는 로봇 위치의 보다 정확한 위치 데이터를 제공하기 위해 진공 조건 하에서 및 작동 온도 또는 그 부근에서 인-시츄 검사 및 교정을 제공한다.
비록 본 발명의 설명을 포함하는 많은 실시예들이 본 명세서에서 예시되고 설명되었지만, 당업자는 이러한 설명을 포함하는 다양하게 변화된 실시예들을 용이하게 구현할 수 있을 것이다.

Claims (36)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 반도체 처리 시스템 내에 배치되는 로봇의 운동을 교정(calibrate)하기 위한 방법으로서,
    반도체 처리 시스템 내에 교정 웨이퍼를 위치시키는 단계;
    카메라를 로봇 상에 위치시키는 단계;
    상기 카메라를 이용하여 상기 교정 웨이퍼를 보는 단계; 및
    상기 교정 웨이퍼의 이미지 내의 상기 교정 웨이퍼의 위치와 미리 정의된 위치 사이의 상대적 거리를 결정하는 단계
    를 포함하는,
    로봇 운동 교정 방법.
  14. 제 13 항에 있어서,
    상기 결정하는 단계는 상기 교정 웨이퍼의 이미지를 모니터 상에 디스플레이되는 표시부와 비교하는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  15. 제 13 항에 있어서,
    상기 결정하는 단계는 상기 교정 웨이퍼의 이미지를 제어기 내에 저장된 기준 이미지와 비교하는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  16. 반도체 처리 시스템 내에 배치되는 로봇의 운동을 교정하기 위한 방법으로서,
    로봇에 의해 지지되는 교정 웨이퍼를 반도체 처리 시스템 내의 기준 위치로 이동시키는 단계;
    상기 로봇의 엔드 이펙터 상에서 지지되는 플레이트 상에 장착된 카메라를 상기 반도체 처리 시스템을 통과하여 이동시켜 웨이퍼 위치 데이터를 획득하도록 상기 교정 웨이퍼를 보는 단계; 및
    상기 기준 위치를 교정하기 위해 상기 웨이퍼 위치 데이터를 이용하는 단계
    를 포함하는,
    로봇 운동 교정 방법.
  17. 제 16 항에 있어서,
    상기 웨이퍼 위치 데이터를 이용하는 단계는,
    상기 교정 웨이퍼의 이미지를 모니터 상에 디스플레이하는 단계;
    상기 교정 웨이퍼의 이미지를 상기 모니터 상에 디스플레이되는 기준 이미지와 비교하는 단계; 및
    보정 거리를 결정하는 단계
    를 더 포함하는,
    로봇 운동 교정 방법.
  18. 제 16 항에 있어서,
    상기 웨이퍼 위치 데이터를 이용하는 단계는,
    상기 교정 웨이퍼의 이미지 데이터를 기준 데이터와 비교하는 단계; 및
    보정 거리를 결정하는 단계
    를 더 포함하는,
    로봇 운동 교정 방법.
  19. 제 16 항에 있어서,
    상기 교정 웨이퍼를 보는 단계는, 카메라를 상기 반도체 처리 시스템으로 통과시키는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  20. 제 16 항에 있어서,
    상기 교정 웨이퍼를 보는 단계는, 로봇의 엔드 이펙터 상에 지지되는 플레이트 상에 장착된 카메라를 상기 반도체 처리 시스템을 통과하여 이동시키는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  21. 제 16 항에 있어서,
    상기 웨이퍼 위치 데이터를 획득하도록 상기 교정 웨이퍼를 보는 단계는, 상기 교정 웨이퍼를 통해 상기 교정 웨이퍼를 지지하는 표면을 보는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  22. 제 16 항에 있어서,
    상기 웨이퍼 위치 데이터를 획득하도록 상기 교정 웨이퍼를 보는 단계는, 상기 교정 웨이퍼의 위치의 지시자(indicator)를 보는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  23. 제 22 항에 있어서,
    상기 교정 웨이퍼의 위치의 지시자를 보는 단계는, 상기 교정 웨이퍼의 기하학적 피쳐와 시각적 피쳐 중 하나 이상을 식별하는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  24. 반도체 처리 시스템 내에 배치되는 로봇의 운동을 교정하는 방법으로서,
    로봇 상에 배치되는 카메라를 반도체 처리 시스템 내의 미리 정의된 위치로 이동시키는 단계;
    기판 지지부의 하나 이상의 이미지들을 상기 카메라를 이용하여 포착하는 단계;
    상기 포착된 이미지들로부터, 기판을 상기 기판 지지부까지 이송시키기 위해 상기 로봇에 의하여 이용될 기준 운동을 결정하는 단계;
    상기 기준 운동을 이용하여 교정 웨이퍼를 상기 기판 지지부까지 이송시키는 단계;
    상기 카메라를 이용하여 상기 기판 지지부 상에 배치되는 상기 교정 웨이퍼를 보는 단계;
    상기 카메라를 이용하여 웨이퍼의 하나 이상의 이미지들을 포착하는 단계; 및
    상기 기판 지지부 상의 상기 기판을 미리 설정된 위치에 위치시키기 위해 상기 로봇에 의하여 이용될 보정된 기준 운동을 결정하는 단계
    를 포함하는,
    로봇 운동 교정 방법.
  25. 제 24 항에 있어서,
    상기 카메라를 이용하여 웨이퍼의 하나 이상의 이미지들을 포착하는 단계는, 상기 웨이퍼를 통해 보여지는 상기 기판 지지부의 하나 이상의 이미지들을 포착하는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  26. 제 25 항에 있어서,
    상기 카메라를 이용하여 웨이퍼의 하나 이상의 이미지들을 포착하는 단계는, 웨이퍼 위치의 지시자의 하나 이상의 이미지들을 포착하는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  27. 제 26 항에 있어서,
    상기 웨이퍼 위치의 지시자의 하나 이상의 이미지들을 포착하는 단계는, 상기 교정 웨이퍼의 기하학적 피쳐와 시각적 피쳐 중 하나 이상을 식별하는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  28. 제 24 항에 있어서,
    상기 로봇 상에 배치되는 카메라를 이동시키는 단계는, 상기 카메라를 진공 환경에 노출시키는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  29. 제 24 항에 있어서,
    상기 카메라에 의해 포착된 이미지들을 전송하는 단계; 및
    상기 포착된 이미지들을 원격으로 수신하는 단계
    를 더 포함하는,
    로봇 운동 교정 방법.
  30. 제 16 항에 있어서,
    상기 교정 웨이퍼를 이동시키는 단계는, 저장된 로봇 운동 루틴을 이용하여 반도체 처리 시스템 내에 웨이퍼를 위치시키는 단계를 더 포함하고,
    상기 교정 웨이퍼를 보는 단계는, 카메라를 이용하여 상기 웨이퍼를 보는 단계를 더 포함하며,
    상기 기준 위치를 교정하기 위해 상기 웨이퍼 위치 데이터를 이용하는 단계는, 상기 웨이퍼의 이미지 데이터를 이용하여 상기 저장된 로봇 운동 루틴을 갱신하는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  31. 제 30 항에 있어서,
    상기 반도체 처리 시스템의 진공 환경 내에서 상기 카메라를 이동시키는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  32. 제 31 항에 있어서,
    상기 카메라를 이동시키는 단계는, 로봇의 엔드 이펙터 상에 상기 카메라를 지지시키는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  33. 제 30 항에 있어서,
    상기 카메라에 의하여 포착되는 이미지들을 전송하는 단계; 및
    상기 포착된 이미지들을 원격으로 수신하는 단계
    를 더 포함하는,
    로봇 운동 교정 방법.
  34. 제 30 항에 있어서,
    상기 카메라를 이용하여 상기 웨이퍼를 보는 단계는, 상기 웨이퍼를 통해 보여지는 하나 이상의 이미지들을 포착하는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  35. 제 30 항에 있어서,
    상기 카메라를 이용하여 상기 웨이퍼를 보는 단계는, 웨이퍼 위치의 지시자의 하나 이상의 이미지들을 포착하는 단계를 더 포함하는,
    로봇 운동 교정 방법.
  36. 제 35 항에 있어서,
    상기 웨이퍼 위치의 지시자의 하나 이상의 이미지들을 포착하는 단계는, 상기 교정 웨이퍼의 기하학적 피쳐와 시각적 피쳐 중 하나 이상을 식별하는 단계를 더 포함하는,
    로봇 운동 교정 방법.
KR1020057016867A 2003-03-11 2004-03-09 웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법 KR101163237B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/387,267 2003-03-11
US10/387,267 US7233841B2 (en) 2002-04-19 2003-03-11 Vision system
PCT/US2004/007202 WO2004082014A1 (en) 2003-03-11 2004-03-09 Vision system and method for calibrating a wafer carrying robot

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020127002748A Division KR101227934B1 (ko) 2003-03-11 2004-03-09 웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법

Publications (2)

Publication Number Publication Date
KR20050105517A KR20050105517A (ko) 2005-11-04
KR101163237B1 true KR101163237B1 (ko) 2012-07-06

Family

ID=32987331

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020127002748A KR101227934B1 (ko) 2003-03-11 2004-03-09 웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법
KR1020057016867A KR101163237B1 (ko) 2003-03-11 2004-03-09 웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020127002748A KR101227934B1 (ko) 2003-03-11 2004-03-09 웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법

Country Status (7)

Country Link
US (2) US7233841B2 (ko)
EP (1) EP1606837B1 (ko)
JP (1) JP4567667B2 (ko)
KR (2) KR101227934B1 (ko)
CN (1) CN1759478B (ko)
TW (1) TWI295830B (ko)
WO (1) WO2004082014A1 (ko)

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7505832B2 (en) * 2003-05-12 2009-03-17 Applied Materials, Inc. Method and apparatus for determining a substrate exchange position in a processing system
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US8190292B2 (en) * 2005-08-29 2012-05-29 The Board Of Trustees Of The Leland Stanford Junior University High frequency feedback in telerobotics
US7222431B1 (en) * 2006-02-03 2007-05-29 Gilson, Inc. Alignment correction system and methods of use thereof
JP4823752B2 (ja) * 2006-04-21 2011-11-24 株式会社タイテック 成形品取り出し機
WO2007149183A2 (en) * 2006-05-25 2007-12-27 Braintech Canada, Inc. System and method of robotically engaging an object
WO2008036354A1 (en) 2006-09-19 2008-03-27 Braintech Canada, Inc. System and method of determining object pose
CN100410026C (zh) * 2006-10-17 2008-08-13 大连理工大学 一种净化机器人
WO2008076942A1 (en) * 2006-12-15 2008-06-26 Braintech Canada, Inc. System and method of identifying objects
DE102007009851B3 (de) * 2007-02-28 2008-05-29 Kuka Roboter Gmbh Industrieroboter und Verfahren zum Bestimmen der Lage eines Industrieroboters relativ zu einem Objekt
JP5064835B2 (ja) * 2007-02-28 2012-10-31 株式会社アルバック 基板搬送装置
KR100865720B1 (ko) * 2007-03-16 2008-10-29 세메스 주식회사 기판 처리 장치 및 방법
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
US7957583B2 (en) * 2007-08-02 2011-06-07 Roboticvisiontech Llc System and method of three-dimensional pose estimation
US8224607B2 (en) 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
US8260461B2 (en) * 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
WO2009045390A1 (en) * 2007-10-01 2009-04-09 Kaufman Engineered System Vision aided case/bulk palletizer system
SG195592A1 (en) * 2007-12-27 2013-12-30 Lam Res Corp Arrangements and methods for determining positions and offsets in plasma processing system
WO2009086164A2 (en) * 2007-12-27 2009-07-09 Lam Research Corporation Systems and methods for calibrating end effector alignment using at least a light source
SG187402A1 (en) * 2007-12-27 2013-02-28 Lam Res Corp Systems and methods for calibrating end effector alignment in a plasma processing system
WO2009086109A2 (en) * 2007-12-27 2009-07-09 Lam Research Corporation Systems and methods for dynamic alignment beam calibration
NL1036673A1 (nl) * 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
US8185242B2 (en) * 2008-05-07 2012-05-22 Lam Research Corporation Dynamic alignment of wafers using compensation values obtained through a series of wafer movements
US8726837B2 (en) 2008-06-23 2014-05-20 Applied Materials, Inc. Semiconductor process chamber vision and monitoring system
FR2934083B1 (fr) * 2008-07-17 2010-09-10 St Microelectronics Rousset Procede et dispositif de reglage de la position de depot d'une plaquette de semi-conducteur dans un four
CN101637908B (zh) * 2008-07-29 2010-11-03 上海发那科机器人有限公司 一种用于机器人搬运作业的视觉定位方法
US8180487B1 (en) 2008-09-30 2012-05-15 Western Digital Technologies, Inc. Calibrated vision based robotic system
US8559699B2 (en) * 2008-10-10 2013-10-15 Roboticvisiontech Llc Methods and apparatus to facilitate operations in image based systems
US8666551B2 (en) * 2008-12-22 2014-03-04 Asm Japan K.K. Semiconductor-processing apparatus equipped with robot diagnostic module
CN101769475B (zh) * 2008-12-31 2013-04-24 鸿富锦精密工业(深圳)有限公司 影像测量仪光源及利用该光源的自动定位系统
US8135208B1 (en) 2009-01-15 2012-03-13 Western Digital Technologies, Inc. Calibrated vision based robotic system utilizing upward and downward looking cameras
TWI417517B (zh) * 2009-02-27 2013-12-01 Hon Hai Prec Ind Co Ltd 影像測量儀光源及利用該光源的自動定位系統
US8318512B2 (en) * 2009-04-29 2012-11-27 Applied Materials, Inc. Automated substrate handling and film quality inspection in solar cell processing
US8321055B2 (en) * 2009-11-03 2012-11-27 Jadak, Llc System and method for multiple view machine vision target location
US8459922B2 (en) * 2009-11-13 2013-06-11 Brooks Automation, Inc. Manipulator auto-teach and position correction system
US9091662B1 (en) 2009-12-22 2015-07-28 Cognex Corporation System and method for automatic camera calibration and alignment determination
WO2013013246A1 (en) 2011-07-21 2013-01-24 Brooks Automation, Inc. Method and device for compensation for dimensional variations in low temperature sample group holders
JP5621796B2 (ja) * 2012-01-31 2014-11-12 株式会社安川電機 搬送システム
KR101964964B1 (ko) * 2012-05-08 2019-08-07 세메스 주식회사 기판 이송 로봇의 자동 티칭 장치를 구비하는 반도체 제조 설비 및 그의 티칭 방법
JP6074961B2 (ja) * 2012-09-10 2017-02-08 トヨタ自動車株式会社 搬送装置
JP5670416B2 (ja) * 2012-12-28 2015-02-18 ファナック株式会社 ロボットシステム表示装置
KR101307583B1 (ko) * 2013-03-14 2013-09-12 주식회사 이즈솔루션 반도체 장비 영상처리에 따른 원격제어 및 장비 성능관리 시스템, 그 구동방법
WO2014170986A1 (ja) * 2013-04-18 2014-10-23 株式会社島津製作所 基板検出システム及び基板検出方法
US9442482B2 (en) * 2013-04-29 2016-09-13 GlobalFoundries, Inc. System and method for monitoring wafer handling and a wafer handling machine
US9111979B2 (en) * 2013-05-16 2015-08-18 Kevin P Fairbairn System and method for real time positioning of a substrate in a vacuum processing system
US9724795B2 (en) * 2013-11-07 2017-08-08 Apex Brands, Inc. Tooling system with visual identification of attached component
US9952162B2 (en) * 2013-12-13 2018-04-24 Robert Scott Simmons Optical inspection system for printed circuit board or the like
US9444004B1 (en) * 2014-05-02 2016-09-13 Deployable Space Systems, Inc. System and method for producing modular photovoltaic panel assemblies for space solar arrays
US9981380B2 (en) * 2014-07-01 2018-05-29 Seiko Epson Corporation Teaching apparatus and robot system
US9950389B1 (en) * 2014-09-19 2018-04-24 EMC IP Holding Company LLC Laser calibration
US10005190B2 (en) * 2014-12-05 2018-06-26 Persimmon Technologies Corporation Robot with wrist and end effector different materials
US9252606B1 (en) * 2014-12-24 2016-02-02 StoreDot Ltd. Devices for adaptive fast-charging of mobile devices
JP6529758B2 (ja) * 2014-12-25 2019-06-12 株式会社キーエンス 画像処理装置、画像処理システム、画像処理方法及びコンピュータプログラム
KR101716805B1 (ko) 2014-12-26 2017-03-16 전자부품연구원 로봇 제어 시각화 장치
JP6576042B2 (ja) * 2015-01-14 2019-09-18 キヤノン株式会社 表示制御装置及びその方法、プログラム
DE102015009004A1 (de) 2015-06-05 2016-12-08 Solaero Technologies Corp. Automatisierte Anordnung und Befestigung von Solarzellen auf Paneelen für Weltraumanwendungen
US10276742B2 (en) 2015-07-09 2019-04-30 Solaero Technologies Corp. Assembly and mounting of solar cells on space vehicles or satellites
US9405287B1 (en) * 2015-07-22 2016-08-02 Applied Materials, Inc. Apparatus and method for optical calibration of wafer placement by a robot
KR20170015209A (ko) * 2015-07-30 2017-02-08 램 리써치 코포레이션 영상 기반 웨이퍼 노치 위치 측정
US9966290B2 (en) 2015-07-30 2018-05-08 Lam Research Corporation System and method for wafer alignment and centering with CCD camera and robot
EP3778141B1 (en) * 2015-08-07 2023-09-27 Nidec Sankyo Corporation Industrial robot
US10014203B2 (en) * 2016-02-12 2018-07-03 Asm Technology Singapore Pte Ltd Pick and place device comprising pick arm correction module
US10452071B1 (en) * 2016-02-29 2019-10-22 AI Incorporated Obstacle recognition method for autonomous robots
CN107324041B (zh) * 2016-04-29 2019-11-26 上海微电子装备(集团)股份有限公司 用于片盒夹持的机械手及自动片盒搬运装置
JP6665040B2 (ja) * 2016-06-20 2020-03-13 三菱重工業株式会社 ロボット制御システム及びロボット制御方法
US10651095B2 (en) * 2016-08-11 2020-05-12 Applied Materials, Inc. Thermal profile monitoring wafer and methods of monitoring temperature
JP6718352B2 (ja) * 2016-09-28 2020-07-08 川崎重工業株式会社 基板搬送ハンドの診断システム
CN106624378A (zh) * 2016-12-14 2017-05-10 大族激光科技产业集团股份有限公司 晶圆片的激光加工方法与装置
JP6923344B2 (ja) 2017-04-13 2021-08-18 株式会社Screenホールディングス 周縁処理装置および周縁処理方法
US10784134B2 (en) * 2017-05-03 2020-09-22 Applied Materials, Inc. Image based substrate mapper
JP6822560B2 (ja) * 2017-05-11 2021-01-27 村田機械株式会社 搬送システム及び搬送方法
CN107393270B (zh) * 2017-07-26 2019-11-08 河海大学常州校区 一种用于电气仪表检测的移动式视觉巡检设备及方法
JP7021877B2 (ja) * 2017-08-08 2022-02-17 株式会社Screenホールディングス 基板処理装置、位置合わせ装置および位置合わせ方法
US10861723B2 (en) * 2017-08-08 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EFEM robot auto teaching methodology
US11088004B2 (en) 2018-01-30 2021-08-10 Brooks Automation, Inc. Automatic wafer centering method and apparatus
US11468590B2 (en) * 2018-04-24 2022-10-11 Cyberoptics Corporation Wireless substrate-like teaching sensor for semiconductor processing
US11247330B2 (en) * 2018-10-19 2022-02-15 Asm Ip Holding B.V. Method for teaching a transportation position and alignment jig
KR20210125067A (ko) 2019-02-08 2021-10-15 야스카와 아메리카 인코포레이티드 관통 빔 자동 티칭
US11279032B2 (en) * 2019-04-11 2022-03-22 Applied Materials, Inc. Apparatus, systems, and methods for improved joint coordinate teaching accuracy of robots
KR20210006572A (ko) 2019-07-08 2021-01-19 삼성전자주식회사 비전 센서, 이를 이용한 반도체 공정 챔버의 검사방법, 및 이를 이용한 반도체 소자의 제조방법
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
US11908722B2 (en) * 2019-09-09 2024-02-20 Kla Corporation Automatic teaching of substrate handling for production and process-control tools
FR3103314B1 (fr) * 2019-11-14 2021-10-08 Safran Electronics & Defense Porte substrat inclinable et orientable et systeme de depot multicouche sous vide le comprenant
JP7365924B2 (ja) * 2020-02-13 2023-10-20 東京エレクトロン株式会社 ティーチング方法
US20230104871A1 (en) * 2020-02-19 2023-04-06 Mao-Yen Sung Wafer carrier disc installation/uninstallation device and installation/uninstallation method thereof
US11584013B2 (en) 2020-03-31 2023-02-21 Wipro Limited System, device and method for determining error in robotic manipulator-to-camera calibration
US20210375654A1 (en) * 2020-05-26 2021-12-02 Asm Ip Holding B.V. Automatic system calibration for wafer handling
US11676845B2 (en) 2020-06-30 2023-06-13 Brooks Automation Us, Llc Automated teach apparatus for robotic systems and method therefor
US11705354B2 (en) 2020-07-10 2023-07-18 Applied Materials, Inc. Substrate handling systems
US11284018B1 (en) * 2020-09-15 2022-03-22 Applied Materials, Inc. Smart camera substrate
KR102627640B1 (ko) * 2021-09-16 2024-01-23 에스엔피 주식회사 진공 챔버에 구비되는 로봇 조립체의 티칭 시스템
KR102627226B1 (ko) * 2021-09-16 2024-01-19 에스엔피 주식회사 진공 챔버에 구비되는 로봇 조립체의 모니터링 시스템
US11823939B2 (en) 2021-09-21 2023-11-21 Applied Materials, Inc. Apparatus and methods for processing chamber lid concentricity alignment
KR20230071834A (ko) 2021-11-15 2023-05-24 주식회사 에스피에스글로벌 오리엔터와 접촉센서를 포함하는 웨이퍼 처리기 로봇 및 그의 작동 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH106262A (ja) * 1996-06-20 1998-01-13 Toshiba Corp ロボットの教示方法及びその装置
JP2002313872A (ja) 2001-04-16 2002-10-25 Tokyo Electron Ltd 基板搬送手段のティーチング方法

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4119381A (en) 1976-12-17 1978-10-10 Eastman Kodak Company Incubator and radiometric scanner
US5012574A (en) 1981-02-27 1991-05-07 Diffracto Ltd. Controlled machining of combustion chambers gears and other surfaces
US4819167A (en) 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5435682A (en) 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5717785A (en) 1992-01-30 1998-02-10 Cognex Corporation Method and apparatus for locating patterns in an optical image
US5331458A (en) * 1989-09-11 1994-07-19 Kensington Laboratories, Inc. Compact specimen inspection station
US5446584A (en) * 1989-09-11 1995-08-29 Kensington Laboratories, Inc. Compact specimen processing station
IL99823A0 (en) 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
DE69329269T2 (de) 1992-11-12 2000-12-28 Applied Materials Inc System und Verfahren für automatische Positionierung eines Substrats in einem Prozessraum
US5479252A (en) 1993-06-17 1995-12-26 Ultrapointe Corporation Laser imaging system for inspection and analysis of sub-micron particles
JP3261841B2 (ja) * 1993-12-27 2002-03-04 株式会社日立製作所 マルチ式ウエ−ハ処理装置
US5452521A (en) 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5563798A (en) 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US5552891A (en) 1994-10-31 1996-09-03 International Business Machines Corporation Automated mask alignment for UV projection expose system
US6366830B2 (en) * 1995-07-10 2002-04-02 Newport Corporation Self-teaching robot arm position method to compensate for support structure component alignment offset
US6360144B1 (en) * 1995-07-10 2002-03-19 Newport Corporation Self-teaching robot arm position method
US5738574A (en) 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
SG54995A1 (en) 1996-01-31 1998-12-21 Texas Instr Singapore Pet Ltd Method and apparatus for aligning the position of die on a wafer table
JP3454400B2 (ja) 1996-02-16 2003-10-06 三井金属鉱業株式会社 繰返しパターンの検査方法
GB2310716A (en) 1996-02-28 1997-09-03 Daewoo Electronics Co Ltd Recognition of a fiducial mark on a printed circuit board
US5844683A (en) 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US5980194A (en) 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US5946083A (en) 1997-10-01 1999-08-31 Texas Instruments Incorporated Fixed optic sensor system and distributed sensor network
TW350115B (en) 1996-12-02 1999-01-11 Toyota Automatic Loom Co Ltd Misregistration detection device and method thereof
US5889593A (en) 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6012965A (en) 1997-10-07 2000-01-11 Micro Optics Design Corp. Manufacturing ophthalmic lenses using lens structure cognition and spatial positioning system
KR20010032714A (ko) * 1997-12-03 2001-04-25 오노 시게오 기판 반송방법 및 기판 반송장치, 이것을 구비한 노광장치및 이 노광장치를 이용한 디바이스 제조방법
JP3869103B2 (ja) * 1997-12-25 2007-01-17 大日本スクリーン製造株式会社 基板搬送教示用の治具、基板搬送装置、及び搬送教示システム
JPH11207611A (ja) 1998-01-21 1999-08-03 Shin Etsu Handotai Co Ltd 両面研磨装置におけるワークの自動搬送装置
US6198976B1 (en) 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6244121B1 (en) 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6068539A (en) 1998-03-10 2000-05-30 Lam Research Corporation Wafer polishing device with movable window
US6051113A (en) 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
JP2937244B1 (ja) 1998-05-20 1999-08-23 株式会社東京精密 ウェーハのパターン撮像装置
US6352466B1 (en) 1998-08-31 2002-03-05 Micron Technology, Inc. Method and apparatus for wireless transfer of chemical-mechanical planarization measurements
US6477265B1 (en) 1998-12-07 2002-11-05 Taiwan Semiconductor Manufacturing Company System to position defect location on production wafers
JP4255091B2 (ja) 1999-04-07 2009-04-15 株式会社日立国際電気 半導体製造方法
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6693708B1 (en) * 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US6707545B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6882416B1 (en) 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6813032B1 (en) 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
US6721045B1 (en) 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
JP2001210692A (ja) 2000-01-26 2001-08-03 Ebara Corp ティーチングの方法
US6532403B2 (en) 2000-04-21 2003-03-11 Microtool, Inc Robot alignment system and method
US6691068B1 (en) 2000-08-22 2004-02-10 Onwafer Technologies, Inc. Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
JP2002100664A (ja) 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理方法および装置
US6648730B1 (en) * 2000-10-30 2003-11-18 Applied Materials, Inc. Calibration tool
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
JP4143305B2 (ja) 2001-01-30 2008-09-03 日本電気株式会社 ロボット装置、照合環境判定方法、及び照合環境判定プログラム
JP2004523901A (ja) 2001-01-30 2004-08-05 グリーン トゥイード オブ デラウエア インコーポレイテッド 苛酷雰囲気のモニタシステム
US6591161B2 (en) 2001-01-31 2003-07-08 Wafermasters, Inc. Method for determining robot alignment
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3694808B2 (ja) 2001-04-13 2005-09-14 株式会社安川電機 ウェハ搬送用ロボットの教示方法および教示用プレート
TW594455B (en) 2001-04-19 2004-06-21 Onwafer Technologies Inc Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
US6671660B2 (en) 2001-04-19 2003-12-30 Onwafer Technologies, Inc. Methods and apparatus for power control
EP1407472A4 (en) 2001-06-28 2009-07-15 Pollack Lab Inc AUTONOMOUS DETECTION APPARATUS AND SYSTEM
JP3888620B2 (ja) * 2002-01-22 2007-03-07 東京エレクトロン株式会社 基板搬送装置における基板の受け渡し位置検知方法及びその教示装置
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
US20050233770A1 (en) * 2002-02-06 2005-10-20 Ramsey Craig C Wireless substrate-like sensor
JP2003243479A (ja) * 2002-02-19 2003-08-29 Tokyo Electron Ltd 搬送手段の停止位置調整機構
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7015418B2 (en) * 2002-05-17 2006-03-21 Gsi Group Corporation Method and system for calibrating a laser processing system and laser marking system utilizing same
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (ja) 2002-07-17 2009-04-22 株式会社安川電機 搬送用ロボットのティーチング装置および搬送用ロボットのティーチング方法
US6890050B2 (en) * 2002-08-20 2005-05-10 Palo Alto Research Center Incorporated Method for the printing of homogeneous electronic material with a multi-ejector print head
US20050137751A1 (en) 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH106262A (ja) * 1996-06-20 1998-01-13 Toshiba Corp ロボットの教示方法及びその装置
JP2002313872A (ja) 2001-04-16 2002-10-25 Tokyo Electron Ltd 基板搬送手段のティーチング方法

Also Published As

Publication number Publication date
CN1759478A (zh) 2006-04-12
JP2006522476A (ja) 2006-09-28
EP1606837A1 (en) 2005-12-21
US20030202092A1 (en) 2003-10-30
TWI295830B (en) 2008-04-11
TW200507154A (en) 2005-02-16
JP4567667B2 (ja) 2010-10-20
US7627395B2 (en) 2009-12-01
KR20120044998A (ko) 2012-05-08
KR101227934B1 (ko) 2013-01-31
WO2004082014A1 (en) 2004-09-23
US20070112465A1 (en) 2007-05-17
KR20050105517A (ko) 2005-11-04
US7233841B2 (en) 2007-06-19
CN1759478B (zh) 2012-05-09
EP1606837B1 (en) 2018-05-02

Similar Documents

Publication Publication Date Title
KR101163237B1 (ko) 웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법
KR101011076B1 (ko) 관측 시스템
US9352466B2 (en) Robot positioning system for semiconductor tools
KR101613135B1 (ko) 반도체 기판의 위치 검출 장치 및 위치 검출 방법
US10665490B2 (en) Apparatus and methods for edge ring replacement, inspection and alignment using image sensors
KR100936085B1 (ko) 무선 기판형 센서
JP4163950B2 (ja) セルフティーチングロボット
JP7161236B2 (ja) 半導体処理のための無線基板状ティーチングセンサ
US20070004058A1 (en) Semiconductor manufacturing device with transfer robot
US20050233770A1 (en) Wireless substrate-like sensor
TWI390660B (zh) 用於半導體晶圓對準之方法與設備
WO2005088681A1 (en) Wireless substrate-like sensor
US7493231B2 (en) Process for determining the actual position of a rotation axis of a transportation mechanism
US20050224902A1 (en) Wireless substrate-like sensor
KR20220133107A (ko) 기판 처리 장치, 교시 정보 생성 방법, 교시 세트 및 기판형 지그

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
A107 Divisional application of patent
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 6