CN1653326A - 视觉系统 - Google Patents

视觉系统 Download PDF

Info

Publication number
CN1653326A
CN1653326A CNA038108046A CN03810804A CN1653326A CN 1653326 A CN1653326 A CN 1653326A CN A038108046 A CNA038108046 A CN A038108046A CN 03810804 A CN03810804 A CN 03810804A CN 1653326 A CN1653326 A CN 1653326A
Authority
CN
China
Prior art keywords
camera
automation
plate
image
end effector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA038108046A
Other languages
English (en)
Other versions
CN1653326B (zh
Inventor
I·萨迪克
J·C·赫金斯
M·R·赖斯
G·E·维卡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1653326A publication Critical patent/CN1653326A/zh
Application granted granted Critical
Publication of CN1653326B publication Critical patent/CN1653326B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B25HAND TOOLS; PORTABLE POWER-DRIVEN TOOLS; MANIPULATORS
    • B25JMANIPULATORS; CHAMBERS PROVIDED WITH MANIPULATION DEVICES
    • B25J9/00Programme-controlled manipulators
    • B25J9/16Programme controls
    • B25J9/1694Programme controls characterised by use of sensors other than normal servo-feedback from position, speed or acceleration sensors, perception control, multi-sensor controlled systems, sensor fusion
    • B25J9/1697Vision controlled systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Mechanical Engineering (AREA)
  • Manipulator (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)

Abstract

一般地,提供了一种用于观察处理系统内的图像的方法和装置。在一个实施例中,一种装置包括一个板,该板使照相机、发送器和电池与其连接。该板适于通过衬底传输自动机械在半导体处理系统周围传输,从而允许从距离系统较远处观察系统内的图像。被观察的图像可以用于自动机械位置的系统检查和校准,以及其他用途。

Description

视觉系统
本申请要求2002年4月19日申请的序列号为10/126,493美国专利申请的优先权,此处通过引用将其全部并入。
公开背景
技术领域
本发明的实施例一般涉及视觉系统,用于处理系统的检查方法以及在处理系统内确定一个物体位置的方法。
背景技术
自动化处理系统中自动机械的使用已变得日益普遍。自动机械经常能够精确地和有效率地执行通过使用人力一般不能完成的重复性工作。而且,自动机械可被用于在由于接近活动组件或敏感环境而不适宜使用人力的场所。
这在半导体处理系统中是特别重要的,衬底错位或脱离位置都会导致严重的损坏或/和计划外的系统维护。未对准的衬底常常被损坏,并损坏其他衬底或设备,或者由于未对准而使产品不能被适当地处理,从而成为废品。例如,在半导体处理系统的自动机械的末端执行器上布置的衬底可以在固定到该自动机械的衬底的移动过程中与一个未对准的衬底发生接触。如果两个衬底彼此接触,这些衬底中的一个或两个可能就损坏了。而且,如果这些衬底中的一个或两个被移出,在进一步处理产品之前为了移走该衬底,还必须关闭该系统。如果所移走的衬底的修复需要进入到真空状态下操作的系统的内部,那么为在已受影响的腔内净化和恢复真空环境,将浪费掉几个小时的生产时间。
为了保证自动机械所传输的衬底准确定位,该自动机械的末端执行器的希望的或预定的位置参考点或坐标通常会作为校准程序的一部分输入到自动机械控制器的存储器中。得到参考坐标一般包括将末端执行器缓慢移动到预定位置,这通常是通过一个手动的或自动化的工序来完成。自动机械的末端执行器到达预定位置可以通过手动观察末端执行器的位置来证实,或通过使末端执行器(或自动机械的其他部分)触发一个传感器,例如一个限位开关来证实。通常,可以重复这一步骤,直到自动机械在整个系统中的移动范围的每个临界位置的所有参考坐标都已经建立(即输入到自动机械的或自动机械控制器的存储器中)。一旦建立了参考坐标,自动机械通过回到参考坐标可以精确地和准确地将末端执行器移动到临界位置。
在许多半导体处理系统中,自动机械的末端执行器的微动和末端执行器到达参考坐标的证实是手动进行的。操作者必须观察末端执行器相对于处理系统内的物体或目标的位置,视觉上估计末端执行器的位置。当执行该任务时为充分地观察末端执行器,处理系统通常对周围环境是敞开的。操作者暴露于自动机械的移动的范围内的这一不方便的位置,容易使操作者受到身体伤害,或发生系统损坏。因而,为了防止对操作者可能的伤害,通常会关闭处理系统以使自动机械不至于不注意地碰到操作者,其可能损害产品、使用设备或操作者。由于系统暴露于周围环境中,在处理之前必须进行净化程序。而且,必须执行漫长的降压过程,以使系统恢复到操作压力。在系统关闭的过程中,不能处理晶片,浪费掉宝贵的生产时间。这导致只要需要校准,就必须重复不希望的生产能力的损失。
因此,需要一种确定物体位置的改进的校准和方法。
发明内容
本发明的一个方面一般提供了用于观察半导体处理系统内的图像的装置。在一个实施例中,一个装置包括一个板,该板使一台照相机、发送器和电池与其相连。该板适于通过衬底传输自动机械在半导体处理系统周围传输,从而允许从距离系统较远处观察系统内的图像。被观察的图像可以用于系统的检查和自动机械位置的校准,以及其他用途。
在本发明的另一个方面,提供了一种用于半导体处理系统的视觉系统。在一个实施例中,一个视觉系统包括适于应用在半导体处理系统中的具有一个末端执行器的至少一个自动机械。照相机被放置在自动机械上,并使一个发送器与其相连。照相机所发送的图像由接收器接收。可选地,图像可以被显示在一台监视器上。
在本发明的另一个方面,提供了一种用于获取半导体处理系统内部视图的方法。在一个实施例中,一种用于获取半导体处理系统内部视图的方法,包括利用放置在半导体处理系统中的自动机械移动照相机,发送照相机所拍摄的图像,并远程接收这些被拍摄的图像。
在另一个实施例中,一种用于获取半导体处理系统内部视图的方法,包括利用放置在半导体处理系统中的自动机械移动照相机,发送照相机所拍摄的图像,远程接收和解释这些拍摄的图像。
在另一个实施例中,一种用于获取放置在半导体处理系统中的自动机械的参考坐标的方法,包括在自动机械上定位一台照相机,利用照相机观察一个目标,和确定目标图像与预定位置之间的相对距离。
附图说明
上文简要概括的本发明的更具体的描述可以参考附图中图解的一些实施例。但需指出的是,因为本发明可以允许其他具有同样效果的实施例,所以附图仅说明了本发明的典型实施例,并无意限制其范围。
图1描述一个群集工具和视觉系统的一个简化的俯视图;
图2A是放置在一个自动机械的末端执行器上的照相机组件的一个实施例的正视图;
图2B是放置在一个自动机械的末端执行器上的照相机组件的一个替换实施例的正视图;
图2C是一个末端执行器的一个实施例的顶视图;
图3A-B是系泊部位的一个实施例的顶剖视图;
图4描述图1的视觉系统的一个操作模式的流程图;
图5描述图1的视觉系统的另一个操作模式的流程图;
图6描述图1的视觉系统的另一个操作模式的流程图;
图7描述了视觉系统的另一个实施例;
图8是具有万向节系统的照相机组件的一个实施例的俯视图;
图9是图8的沿剖面线9--9的万向节系统的一个剖视图;
图10是图8的沿剖面线10--0的万向节系统的一个剖视图;以及
图11是照相机组件的另一个实施例的剖视图。
为了便于理解,使用同样的参考编号来指明图中公共的相同元件。
具体实施方式
本发明一般地提供了一种用于拍摄半导体处理系统内的图像的视觉系统和相关设备。该图像可被用于校准自动机械末端执行器的位置和用于系统的检查。下面就半导体处理系统或群集工具内的自动机械末端执行器位置的确定对本发明说明性地进行描述。但是,应该理解的是,本发明可被用于在半导体处理系统内执行多种检查和/或校准功能,而不必向周围(即附近的)环境敞开系统。此外,本发明可以在其他半导体处理系统配置中运用,如化学机械抛光系统、电化学沉积和抛光系统,其中希望获得来自移动照相机的图像。
图1描述一个示例性的处理系统190的一个实施例,处理系统190包括可以用来拍摄处理系统190内的图像的一个视觉系统150。视觉系统150一般包括一个照相机组件100和控制器140,控制器140用于处理和/或显示照相机组件100所观察的图像。照相机组件100适于通过系统190的一个或多个衬底传输自动机械,在系统190周围被传输。这样,照相机组件100向控制器140提供的图像可以被用来确定自动机械的位置以用于校准目的和/或可视化腔检查,而不必向周围环境暴露系统190的内部。照相机组件100所获得的图像也可以用于其他目的。
在图1中描述的示例性处理系统190一般包括一个中心传输腔194,中心传输腔194使多个处理腔192与其相连。处理腔192可以是与半导体处理有关的任何类型的处理腔,包括但不限于化学气相沉积腔、原子层沉积腔、物理气相沉积腔、定向腔、排气腔、预清洗腔、蚀刻腔和热处理腔,等等。这些处理腔的示例可以从位于Santa Clara,California的Applied Materials,Inc得到,并可以利用也是从AppliedMaterials得到的传输腔,例如,PRODUCER、ENDURA和CENTURA系列的处理平台。
端口188位于每个处理腔192与传输腔194之间,以允许衬底(和照相机组件100)从处理腔192的进出。端口188可以有选择地由一个缝隙式阀(slit valve)(为使图表清楚从图1中略去)来密封。具有末端执行器198的一个传输自动机械196位于传输腔104中心,以利于将衬底(和照相机组件100)传输到周围的处理腔192中。可以利用的传输自动机械的一个示例是VHP自动机械,也可以从AppliedMaterials,Inc得到。也可以使用其他自动机械。
一个或多个负载锁定腔184被连接到传输腔104与工厂接口(factory interface)180之间。在图1所描述的实施例中示出了两个负载锁定腔184。负载锁定腔184有助于在传输腔194的真空环境与工厂接口180的实际的周围环境之间的衬底传输。可以利用的负载锁定腔的一个示例描述在Rivkin等人的2001年8月7日颁发的美国专利号6,270,582中,此处通过引用将其全部并入。
工厂接口180具有一个接口自动机械182,并包括多个壁凹178,壁凹178适于容纳衬底存储盒176。配置每个盒176以在其中存储多个衬底174。工厂接口180一般维持在大气压或大气压附近。在一个实施例中,已过滤的空气被供应到工厂接口180,使在工厂接口内的粒子的浓度最小化,相应地使衬底清洁。得益于本发明的工厂接口的一个示例描述在Kroeker的1998年9月8日申请的美国专利申请号09/161970中,此处通过引用将其全部并入。
接口自动机械182一般类似于上文所述的传输自动机械196。接口自动机械182包括一个与传输自动机械196的末端执行器198相类似的末端执行器,因此将由同一参考数字表示。接口自动机械182用于在盒176和负载锁定腔184之间传输衬底。
系泊部位172可以位于工厂接口180内。系泊部位172为系统190内的照相机组件100提供了存储区域,这样,有利于校准、重新校准或检查的程序,而不需要把照相机组件100通过盒176或其他访问端口导入系统190中。可替代地,系泊部位172可以位于系统190内的其他位置。在另一个实施例中,照相机组件100可被存储在盒176中,以允许从系统190的导入或移出。可替代地,照相机组件100当不使用时可从系统190移出。系泊部位172的一个实施例参考图3A、图3B在下文中进一步描述。
由于照相机组件100适于被自动机械196、182传输,末端执行器198的位置校准可以在处理系统190内的任何位置获得。例如,照相机组件100可用于校准在任何一个处理腔192、传输腔194或负载锁定腔184内的传输自动机械的位置,以保证衬底在其中准确的和重复的放置。照相机组件100可以用于校准工厂接口自动机械182的末端执行器198在任何一个的衬底存储盒176、负载锁定腔184或系泊部位172的位置。衬底准确的定位增加了处理过程的可重复性,而减少了由于衬底未对准而造成的对衬底和设备的损害。而且,照相机组件100的移动性允许进行处理系统190内部的校准和可视化检查,而没有丧失传输和处理腔194、192内的真空或没有人员伤害的风险。进一步,由于执行校准/检查时处理仍可进行,因此提高了生产率。
照相机组件100一般包括照相机104、电源138和放置在定位板106上的发送器156。照相机组件100的高度应该能够允许通过系统190内的各种缝隙式阀和端口的传输,并且它的重量应该类似于衬底的重量,当放置在其上面时不会过分地引起自动机械196的末端执行器198下垂。
典型地,定位板106是由铝、不锈钢、塑料或其他刚性材料制成的。在照相机组件100经受高温的一些实施例中,例如在处理腔192内执行温度约在350℃以上的化学气相沉积时,定位板106优选由具有小的热膨胀系数的绝缘(non-conductive)材料制成。通常,当在传输自动机械196的末端执行器198上时,定位板106被配置以支持照相机104。
定位板106可以是任何形状或几何形状以支持在末端执行器198上的照相机104,而在传输过程中不容易被从自动机械中移出。在一个实施例中,定位板106的至少一部分周长具有设定的一个半径,以复制(即实际上等于)一个常规衬底。例如,定位板106可以包括具有约150mm、约100mm或约50mm半径的周长的一部分,以复制大小为300mm、200mm或100mm的衬底。定位板106的一个替代的配置可以复制其他标准的、常规的或用户化尺寸的衬底,包括多边形平面面板。
照相机104用于拍摄处理系统190内的图像。照相机104提供单个图像或可视化图像。在一个实施例中,照相机是单色的安装在板上的照相机,可以从位于Barrington,New Jersey的Edmund IndustrialOptics处得到。
通常,电源138为照相机104和发送器156供电。电源138可以是如设备电源的远程电源,或自身包含在照相机组件100内的电源,如电池。
在一个实施例中,电源138是适于使用在真空环境的电池。优选低,电源138是适于在200℃以上的温度下间歇使用。一个电源138是型号为3S1P的电池,可以从South West Electronics Energy Corporation得到。
发送器156产生表示照相机104所观察的图像的信号。发送器156可通过沿自动机械的控制线或广播信号(即无线信号)提供信号到控制器。可以利用的一个发送器是可从Supercircuits得到的MVT-10。
控制器140用于从发送器156接收照相机104观察到的图像。控制器140包括一个中央处理单元(CPU)144、支持电路146和存储器142。CPU 144可以是任意形式的计算机处理器,这些计算机处理器可以应用在工业计算中,以控制各种腔和子处理器。存储器142连接到CPU 144。存储器142,或计算机可读介质,可以是一种或多种容易得到的存储器,如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其他形式的本地或远程数字存储器。支持电路146连接到CPU 144,以传统的方式支持处理器。这些电路包括高速缓冲存储器、电源、时钟电路、输入/输出电路、子系统等等。
接收器154连接到控制器140,以有助于发送器156产生的信号传输到控制器140。可以利用的一个接收器是可从Supercircuits得到的MVT-10。任意地,监视器148可以连接到控制器140,用于观察来自照相机104的图像。
图2A描述照相机组件100的俯视图,照相机由位于定位板106下面的末端执行器198支撑。如图2A所示,定位板106包括一个或多个定位销202,定位销202与在自动机械的末端执行器198上形成的各自的孔204相连接,以增进定位板106与自动机械的末端执行器198之间的位置精度。销202从面向末端执行器198的定位板106的第一侧面206伸出,而定位板106的相对的第二侧面208支持发送器156。
此外,定位板106还包括一个分布的贯穿的孔210,它与通过末端执行器198形成的相应的孔222对准。孔210可以是板106中形成的孔或者是板106一个透明部分,这有利于观察与照相机104相对的板106的第一侧面206上的物体。在图2A所示的实施例中,孔210允许照相机104的镜头216通过定位板106延伸到第一侧面206下面的一个位置。选择具有景深218的镜头216,这样照相机所观察的物体将处于焦点中。在一个实施例中,镜头216的焦距为4.7mm,这种镜头可以从Edmund Industrial Optics得到。
在图2B所描述的照相机组件100的另一个实施例中,孔210以透明材料的窗口212来填充,如丙烯酸、石英或聚碳酸酯。可替代地,整个定位板106可由透明材料制成。
照相机104位于定位板106的第二侧面208上,以在窗口212的上面放置镜头216。在这一位置上,照相机104可以通过孔210/窗口212观察诸如图1中所示的衬底支撑186之类的物体,以获得表示系统190内的末端执行器198的位置的一个图像。可选地,可以将照相机104安装在定位板106上,面向相反的方向,以观察在定位板106的第二侧面208上的图像,从而可使处理系统的上部区域被检查到,而不用移动各种腔的盖子。
可选地,窗口212包括一个标记214。标记214为通过孔210和212从照相机104拍摄图像提供了一个基准或“交叉瞄准线”。标记214可以是一个圆、十字或适于描绘基准点的其他记号。标记214可以用来提供比较图像的一个基准。在孔中使用标记214的一些实施例中,应该选择镜头216以提供包括标记214的景深218。
重新参考图2A,由电源138供电的灯220可以连接到定位板106上,以照亮板106的第一侧面206下面的物体。典型地,灯220定位在孔210的侧面,以允许灯220产生的光束照亮孔210下面的物体或表面。在一个实施例中,灯220是一个发光二极管,它通过定位板106中的孔224延伸(如在图2C中描述的末端执行器198的一个实施例的顶视图中所看到的)。灯220可以被配置以在末端执行器198的下面延伸,或放置在末端执行器198的外部,或穿过在末端执行器198中所形成的孔226。
开关140连接到定位板106,以使照相机组件100被启动。开关140是手动的on/off(通/断)开关,或由控制器或其他装置控制的自动开关。在一个实施例中,开关140是一个邻近传感器、光学传感器、限位开关或其他传感器/开关,当末端执行器198位于照相机组件100的下面时,这些传感器/开关可以感觉出靠在或接近定位板106的第一侧面206的末端执行器198的存在。当照相机组件100是由自动机械的末端执行器198支撑时,这允许开关140启动灯220、照相机104和发送器156,因此保存了电池的能量。
图3A、图B描述用于延长电源138的电池寿命的系泊部位172的一个实施例。系泊部位172是用于支持不使用时的照相机组件100,一般包括一个托架302和一个充电装置320。托架302被配置为支持其上面的照相机组件100。由于托架302的形状高度依赖于定位板106所选择的配置,托架302的配置可以有多种变化,以牢固地保持照相机组件100,同时允许接口自动机械182的末端执行器198从那里放置和取回照相机组件100。
在一个实施例中,托架302是由刚性材料制造,例如铝、不锈钢或聚合物,并且包括一个安装部分304和一个从安装部分304以悬臂方式向外延伸的支撑部分306。安装部分304通过多个紧固件308连接到工厂接口180。
支撑部分306包括一个第一臂310和一个第二臂312,它们从安装部分304伸出,并彼此相对间隔开,以在不使用时支撑照相机组件100。臂310、312间隔开,以允许自动机械182的末端执行器198从其中间通过,从而允许末端执行器198放置和从支撑部分306的臂310、312取回照相机组件100,而不接触托架302。
每个臂310、312包括一对支柱314。每个支柱314包括一个用来支撑照相机组件100的座316和一个用来阻挡照相机组件100以将照相机组件100保持在座316上的凸缘(lip)318。
可选地,托架302可包括一个充电装置320。在照相机组件使用的间隙间存放在托架302上时,充电装置320用于给100的电源138再充电。在一个实施例中,充电装置320包括一对触针322,它们连接到放置在系统190外部的充电器324。触针322通过一个致动器326连接到从安装部分304伸出的突起物328上。
传感器330,例如邻近传感器或限位开关,连接到托架302,以探测照相机组件100是否存在。当探测到照相机组件100时,致动器326移动触针322,以接触放置在照相机组件100上的一对导电接触垫332。接触垫332连接到电源138的各自的头部,因此通过触针322将电源138电连接到充电器324,以在使用的间隙间对电源138再充电。一旦电源138充电完成,或控制器140指示自动机械182取回照相机组件100,致动器326举起触针322离开照相机组件100,以允许自动机械182从系泊部位172举起照相机组件100,而不接触到触针322。
可以配置控制器140以监视电源138的充电,这样,一旦电源恢复到一个预定的电量水平,就停止充电。可替代地,其他工具,例如在本地安装到系泊部位172的一个专用逻辑电路(未标出),可以被用来控制和/或检测变化,以及控制触针322的动作。
重新参考图1,控制器140接收照相机104所观察的图像信息。图像信息可以由控制器140来处理,以确定末端执行器的位置和/或提供处理系统的部分的视图。在图1所描述的实施例中,控制器140显示一个图像152,例如,位于一个处理腔192的衬底支撑186的一个图像,图像显示在监视器148上,以便于操作者观察图像152。
在一个操作模式中,显示在监视器148上的图像152可以被用来手动轻移(jog)自动机械196,把末端执行器198放置在一个预定的位置或目标上,例如在衬底支撑186上形成的一个真空端口上,其图像在监视器148上显示为端口图像170。为利于移动末端执行器198所需的距离,显示器150可选地投射一个格栅158。配置格栅158以便沿着每个轴计算端口图像170与标记图像160之间的格栅线的数目,而求出目标图像例如端口图像170与标记图像160之间的距离。
图4是描述校准程序400的一个实施例的流程图,该程序可被用来发现把末端执行器放置在一个预定位置的自动机械参考坐标。这些位置包括但不限于,由系统190的自动机械放置或取回衬底的任何位置。尽管程序400被描述为,把传输自动机械198的末端执行器198与一个处理腔194的衬底支撑186对准,但是程序400可以被用于任何系统自动机械的运动范围内的其他位置,以在该位置校准自动机械的位置。在步骤402中,把照相机组件100定位于传输自动机械196的末端执行器198上。该步骤可包括从一个远程的位置把照相机组件100传输到自动机械196。在步骤404中,自动机械196在一个X/Z平面内被轻移到处理腔192内的一个位置,以使衬底支撑186的图像152被显示在监视器148上。在步骤406中,自动机械196在一个X/Z平面内被手动轻移,以把标记214与图像152的一个预定部分或目标对准,例如端口图像170。在步骤408中,末端执行器198的对准位置被记录为X/Z平面内的参考坐标。
一旦端口图像170与标记对准,在步骤410中,通过沿y轴轻移自动机械196的末端执行器198,末端执行器198的高度被移动到一个预定的位置。在步骤412中,通过比较标记152端口图像170的相对大小,可以确定是否到达了预定位置。可以通过利用标记212简化这一比较,当自动机械196的末端执行器198处于合适的高度时,标记212匹配目标(即,端口图像170)的大小和/或几何形状。在步骤414中,末端执行器198的高度被记录为沿y轴的一个参考坐标。
图5是描述校准程序500的另一个实施例的流程图,该程序可被用来发现把末端执行器放置在一个预定位置的自动机械参考坐标。尽管程序500被描述为把传输自动机械196的末端执行器198与一个处理腔194的衬底支撑186对准,但是程序500可以被用在任何系统自动机械的运动范围内的其他位置,以校准在该位置的自动机械的位置。在步骤502中,把照相机组件100定位于传输自动机械196的末端执行器198上。在步骤504中,控制器140指示自动机械196到处理腔192内的一个位置,以使衬底支撑186的图像152被照相机104观察到。在步骤506中,控制器140把照相机104观察到的图像104与存储在控制器140的存储器142中的参考图像相比较。在步骤508中,控制器140计算出自动机械196的当前位置与X/Z平面上的预定位置之间的距离,并相应地移动末端执行器198。步骤506和508被循环重复,直到自动机械196的末端执行器198到达预定位置,其中在步骤510中,控制器140记录末端执行器198的X/Z参考坐标。
一旦获得末端执行器198的X/Z参考坐标,在步骤522中,通过沿着y轴移动自动机械196的末端执行器198,可将末端执行器198的高度移动到一个预定位置。在步骤514中,可以通过比较照相机104所观察到的图像与参考信息的相对大小而确定是否到达预定位置。例如,照相机104的高度可以调节,直到目标图像的像素的数量等于一个预定的数量。在一个替代的示例中,目标图像的相对侧面可以与照相机104所观察到的标记212比较。在步骤516中,当自动机械196的末端执行器198到达预定的Y轴位置时,控制器140记录末端执行器198的Y参考坐标。可以预料的是,X、Y和Z参考坐标可以任何顺序得到,包括可以同时得到。
图6描述可利用本发明的另一个方法600。在步骤602中,把照相机组件100定位于传输自动机械196(或系统190的其他自动机械)的末端执行器198上。在步骤604中,控制器指示自动机械196将照相机组件100移动到一个预定位置和/或沿一条穿过系统190的预定路径。在步骤606中,图像被发送到控制器140。在步骤608中,控制器140解释传输的图像。例如,图像可以显示在监视器148上,以便对系统190的内部的进行可视化检查。可替代地,该图像可以与存储在控制器140的存储器142中的参考图像相比较。这些图像也可以用于其他目的,例如,销售或技术演示。
图7描述视觉系统700的另一个实施例,视觉系统700可以用来获得处理系统750的图像。处理系统700基本上类似于图1中所描述的处理系统190,因此,出于简洁的目的,仅示出了连接到传输腔754的单个处理腔752,传输腔754具有部署在其中的传输自动机械756。
视觉系统700一般包括控制器702、照相机704和反射器(reflector)706。典型地,反射器以一个方向连接到末端执行器758,该方向使得位于照相机观察视野外的图像被照相机704观察到。反射器706可以被固定、粘合或其他方式附在末端执行器758上。可替代地,反射器706可以连接到定位板710,定位板710的配置类似于以上描述的定位板106,这样,当不使用时,反射器706(和定位板)可以从末端执行器上移去。
在图7描述的实施例中,反射器706连接到末端执行器758的下侧(underside)720,并包括一个反射表面708。反射表面708典型地是由磨光的不锈钢或其他可提供光学质量反射的材料制造的。反射表面708的方向相对于照相机704的观察视野约45度。这样,在末端执行器758下面的物体的图像和在照相机的观察视野外的图像可以由距离处理腔752遥远放置的照相机704来拍摄。拍摄的图像可以用于以上讨论的检查或校准。
反射器706可以配置为通过变化反射表面708的角度方向,允许照相机704观察系统750内预定位置的物体。可以配置反射器706以提供末端执行器758上面、下面和侧面的图像。可替代地,反射器706可以是棱镜,透镜或适于提供照相机视野外的图像的其他光学设备。
可替代地,反射器706可以一种方式连接到一个定位板上,该方式可使反射器706相对于末端执行器758移动,以允许作为固定视线的照相机704可观察到更多的物体。下面参考图11来描述具有可控定位的反射器。
控制器702和照相机704一般类似于上述的控制器140和照相机104。照相机704典型地安装在传输自动机械756的一部分上,当自动机械756的一个末端执行器758插入到处理腔752中时,传输自动机械756保持在处理腔752的外面(即保持在传输腔754中)。在不进入到处理腔752中的位置安装照相机704,有利于在容易损害照相机的较热环境中使用视觉系统700。这样,图像可以在一个热的处理腔中获得,而不需要等待冷却。
在连接到一个工厂接口自动机械的视觉系统700的一个实施例中,例如,图1中描述的自动机械182,照相机704可以连接到接口自动机械的任何部分,接口自动机械把反射器706维持在照相机的视野内,这是由于接口自动机械所访问的环境一般优于传输自动机械的末端执行器暴露于其中的环境。
在一个实施例中,照相机704连接到肘节760,肘节760把末端执行器758连接到传输自动机械756的连杆机构762上。可替代地,照相机704可以连接到连杆机构762或静态地位于传输腔760中。由于照相机704通过传输自动机械756连接到系统750,因此本地电源712和发送器714就不需要了,因为照相机704可以通过自动机械756和传输腔754,硬连线到控制器702上。可替代地,类似于上述的电源138和发送器156的一个电源和发送器可以连接到自动机械756上的照相机704或在系统750的附近。
图8是照相机组件800的另一个实施例的俯视图。照相机组件800类似于上述的照相机组件100,除了照相机组件800的照相机104是可移动地安装在照相机组件800上。通过改变照相机104相对于支撑照相机104的定位板106的视线,可以指示照相机104观察物体,而不移动自动机械或末端执行器(未示出)。照相机104相对于定位板106的移动是由万向架组件802来实现的。万向架组件802可以是能够改变照相机104方向的任何设备,例如球窝接头、万向接头或能够通过至少一个平面变化照相机104的视野的其他装置。
在图8描述的一个实施例中,万向架组件802包括一个转盘组件804,转盘组件804使一个枢轴组件806与其相连。枢轴组件806使照相机104安装在其上,并适于相对于轴808转动照相机104,轴808与定位板106平行。转盘组件804用于绕轴810旋转,轴810与轴808垂直,与穿过定位板106的孔210同中心。转盘组件804用于使照相机104绕轴810旋转。
另外参考图9的剖视图,转盘组件804包括保持转盘816的一个座圈814。转盘816具有与驱动电机820互相啮合的锯齿状周长818。驱动电机820连接到控制器140,控制器140为电机820提供指令,控制转盘816的旋转方向。
转盘816包括连接到周长818邻近的一个突起物822。突起物822具有至少部分地贯穿其中而形成的一个孔824,孔824适于与致动器826的活塞828相结合,致动器826连接到定位板106。当转盘816在预定的角度方向时,活塞828可以被驱动,从而使孔824锁住或固定住转盘816绕轴810的位置。
枢轴组件806具有一对支架830,支架830跨装在孔838上,孔838位于转盘816的中心处,其与定位板106上的孔210对准。照相机104是由沿轴808放置的轴832在支架830之间枢轴地支撑。轴832的一端包括一个齿轮834,齿轮834与连接到转盘816的驱动电机836相接合。驱动电机836连接到控制器140,控制器140提供指令给电机836,控制照相机104围绕轴808的相对于支架830的转动方向。这样,转盘组件804和枢轴组件806可以确定照相机104的方向,以具有上半球形的视野(UFOV)和下圆锥形的视野(LFOV),通过这样的角度可以得到图像。
另外参考图10,齿轮834包括至少部分地贯穿其中形成的至少一个第一定位孔1002。孔1002用于与连接到转盘816的致动器1006的活塞1004相接合。当齿轮834位于预定的角度方向时,例如当照相机104通过在定位板106上的孔210沿轴810拍照(面对)时,活塞104可以被驱动,从而使孔1002锁住或固定住绕轴808的照相机104的方向。孔1008可以在支架830中提供,以在活塞1004穿过齿轮834中的孔1002之后容纳活塞1004,以更安全地保持齿轮834。可替代地(或附加地),第二孔1010可以穿过齿轮834至少部分地形成,位置是相对于第一孔1002绕轴808旋转180度,以把照相机定位在仰视的位置。
在一个实施例中,万向架组件802可以被锁定(通过驱动活塞828、1004),以将照相机104保持在可以穿过孔210沿轴810观察的方向上。在这一锁定的情况下,自动机械的位置校准可以通过上述方法准确地获得。此外,在没锁定的位置,照相机104可以多个方向旋转,此时自动机械既可以是静止的也可以是移动的,可以从基本上是整个系统中获得视图,这可以有利地使用在系统的检查中,而基本上不打断正常的处理程序,不丧失被检查的系统区域的真空。
图11描述反射器组件1100,反射器组件1100可以用来代替上面在图7中所述的视觉系统700中的反射器704。反射器组件1100一般类似于照相机组件800,除了反射器组件1100的万向架组件802控制反射器1102的方向。因此,如箭头1106描述的方向,通过变化反射器1102相对于照相机104的角度/方向移动自动机械或末端执行器(未标出),照相机704(图7中未标出)可以观察反射器1102中所反射的物体的图像,这些图像原来是在照相机的视线之外。
在图11描述的实施例中,万向架组件802被置于定位板106上,它包括一个转盘组件804,转盘组件804使一个枢轴组件806与其相连。枢轴组件806使反射器1102安装在其上,并适于相对于轴808转动反射器1102,轴808与定位板106平行。转盘组件804用于绕轴810旋转,轴810与轴808垂直。转盘组件804用于绕轴810旋转反射器1102。转盘组件804与枢轴组件806之间的运动的组合使反射器1102的反射表面1104被确定方向,以致于由于反射器方向按照控制器140的指示而确定,所以照相机704可以拍摄在定位板106的上面、下面和侧面的物体的图像。
因此,提供了一种便于拍摄半导体处理系统内的图像的视觉系统。该视觉系统允许用最少的操作者的交互动作并且不把系统的内部暴露于周围环境种来执行校准和检查程序。而且,该视觉系统提供真空条件下以及在或者接近操作温度的现场(in-situ)检查和校准,以提供自动机械位置的更准确的位置信息,这些信息的获得不用中断衬底的处理过程。
尽管已经示出了包括本发明所授内容的各种实施例,并对其进行了详细的描述,本领域的技术人员可以容易地设计出许多其他不同的实施例,但所有的这些实施例仍然包括了上述所授内容。

Claims (79)

1.装置,包括:
一个板,适于由一个衬底传输自动机械在一个半导体处理系统的周围传输;
连接到所述板的一台照相机;
连接到所述板的第一侧面的一个发送器,用于产生表示所述照相机所观察到的物体的一个信号;以及
连接到所述板的一个电池,用于为所述发送器供电。
2.根据权利要求1所述的装置,进一步包括:
至少一个接触垫,其位于所述电池或板上,并适于将所述电池连接到一个充电器。
3.根据权利要求1所述的装置,其中所述板包括至少两个销,其从所述板的第二侧面中伸出,并适于相对于另一个物体定位所述板。
4.根据权利要求1所述的装置,进一步包括:
一个光源,其连接到所述电池,并适于照亮所述板的所述第二侧面上的物体。
5.根据权利要求4所述的装置,其中所述板进一步包括:
一个孔,其用于容纳所述光源。
6.根据权利要求4所述的装置,其中所述光源是一个发光二极管。
7.根据权利要求1所述的装置,其中所述电池适用于真空环境。
8.根据权利要求1所述的装置,其中所述板的直径基本上等于标准晶片的直径。
9.根据权利要求1所述的装置,其中所述板进一步包括:
一个孔,其贯穿所述板而形成,适于使所述照相机通过所述孔观察的物体。
10.根据权利要求9所述的装置,其中所述板进一步包括:
位于所述孔中的一个透明窗口。
11.根据权利要求10所述的装置,其中所述窗口进一步包括:
一个标记。
12.根据权利要求1所述的装置,其中由所述发送器所产生的所述信号是无线信号。
13.根据权利要求1所述的装置,其中所述照相机可以围绕至少第一轴旋转。
14.根据权利要求13所述的装置,进一步包括一个锁定机构,以临时地固定绕所述第一轴的所述照相机的方向。
15.根据权利要求1所述的装置,其中所述照相机可以绕两个轴旋转。
16.一种用于半导体处理系统的视觉系统,包括:
至少一个自动机械,其适于在一个半导体处理系统中使用;
连接到所述自动机械的一个末端执行器;
由所述自动机械可选择地定位的一台照相机;
连接到所述照相机的一个发送器;和
用于接收所述照相机所发送的图像的一个接收器。
17.根据权利要求16所述的系统,其中所述自动机械被装于一个真空腔中。
18.根据权利要求16所述的系统,进一步包括一个板,其使所述照相机与其相连。
19.根据权利要求18所述的系统,其中所述板进一步包括:
贯穿其中形成的一个孔;和
适于通过所述孔获取图像的所述照相机。
20.根据权利要求18所述的系统,其中所述自动机械的所述末端执行器进一步包括:
贯穿其中形成的一个孔,其适于允许所述照相机通过所述孔进行观察。
21.根据权利要求18所述的系统,其中所述板进一步包括:
至少一个定位销,其从所述板上伸出,并在所述末端执行器中形成的一个孔中被容纳。
22.根据权利要求16所述的系统,其中所述照相机被定向以拍摄所述末端执行器上面的图像。
23.根据权利要求16所述的系统,其中所述照相机被定向以拍摄所述末端执行器下面的图像。
24.根据权利要求16所述的系统,进一步包括:
连接到所述机架上的一个或多个处理腔,其中所述照相机适于拍摄处理腔内的图像。
25.根据权利要求16所述的系统,进一步包括:
至少一个系统构件,其从包括工厂接口、负载锁定腔和衬底存储盒的组中选择。
26.根据权利要求16所述的系统,进一步包括:
连接到所述发送器的一个电池。
27.根据权利要求26所述的系统,进一步包括:
一个系泊部位,其用于当所述支撑板不由所述末端执行器承载时,支撑所述支撑板。
28.根据权利要求26所述的系统,其中所述系泊部位进一步包括:
一个用于对所述电池再充电的装置。
29.根据权利要求28所述的系统,其中所述系泊部位进一步包括:
靠在所述板或电池上形成的电接触上的至少一个电接触,其中所述电接触提供对电池再充电的电流路径。
30.根据权利要求16所述的系统,进一步包括:
支撑所述照相机的一个板;和
连接到所述板用于启动所述发送器的一个开关。
31.根据权利要求30所述的系统,其中所述开关响应所述末端执行器的邻近而改变状态。
32.根据权利要求30所述的系统,其中所述开关响应所述系泊部位的邻近而改变状态,当不使用时所述系泊部位支撑所述板。
33.根据权利要求16所述的系统,进一步包括:
位于所述末端执行器上的一个板;和
连接到所述板的一个反射器,用于允许照相机观察位于所述照相机的视野外的图像。
34.根据权利要求16所述的装置,其中所述照相机可以围绕至少第一轴旋转。
35.根据权利要求34所述的装置,进一步包括一个锁定机构,以临时地固定绕所述第一轴的所述照相机的方向。
36.根据权利要求16所述的装置,其中所述照相机可以围绕两个轴旋转。
37.一种用于获取半导体处理系统内的视图的系统,包括:
一个自动机械,其具有一个机身和通过连杆机构连接的一个末端执行器;
连接到所述连杆机构的一台照相机;和
连接到所述末端执行器的一个反射器,适于指向由所述照相机获得的一个视图。
38.根据权利要求37所述的装置,其中所述反射器被配置以获得基本垂直于所述叶片的一个视图。
39.根据权利要求38所述的装置,其中所述视图向上定向或向下定向。
40.根据权利要求37所述的装置,其中所述反射器可以围绕至少第一轴旋转。
41.根据权利要求40所述的装置,进一步包括一个锁定机构,以临时地固定绕所述第一轴的所述反射器的方向。
42.根据权利要求37所述的装置,其中所述反射器可以围绕两个轴旋转。
43.一种获取半导体处理系统内的视图的方法,该方法包括:
利用位于所述半导体处理系统内的一个自动机械移动照相机;
传送所述照相机所拍摄的图像;和
远程地接收所拍摄的图像。
44.根据权利要求43所述的方法,其中所述移动步骤包括:
将所述照相机插入到一个负载锁定组件中。
45.根据权利要求43所述的方法,其中所述移动步骤进一步包括:
通过工厂接口传输所述照相机。
46.根据权利要求43所述的方法,其中所述移动步骤进一步包括:
通过一个传输腔传输所述照相机,该传输腔使一个或多个处理腔与其相连。
47.根据权利要求43所述的方法,其中所述移动步骤进一步包括:
将所述照相机插入到一个处理腔中。
48.根据权利要求43所述的方法,其中所述移动步骤进一步包括:
将所述照相机插入到一个衬底存储盒中。
49.根据权利要求43所述的方法,其中所述的传送步骤进一步包括:
将所述照相机观察的图像广播到一个显示器。
50.根据权利要求43所述的方法,进一步包括:
响应所述照相机观察的图像,而移动所述自动机械。
51.根据权利要求43所述的方法,进一步包括:
基于所述照相机观察的图像记录所述自动机械的一个参考位置。
52.根据权利要求43所述的方法,进一步包括:
将所述照相机放置在一个系泊部位上。
53.根据权利要求52所述的方法,其中所述的将所述照相机放置在一个系泊部位上的步骤进一步包括:
对连接到所述照相机的一个电源充电。
54.根据权利要求43所述的方法,进一步包括:
将所述照相机从所述系泊部位传输到所述自动机械的一个末端执行器;
响应所述传输而启动一个发送器。
55.根据权利要求54所述的方法,其中所述的启动所述发送器的步骤进一步包括:
响应所述末端执行器或系泊部位的存在,改变一个传感器的状态。
56.根据权利要求43所述的方法,其中所述照相机被向上的定向。
57.根据权利要求43所述的方法,其中所述照相机被向下的定向。
58.根据权利要求43所述的方法,进一步包括:
拍摄所述照相机视野外的图像。
59.根据权利要求58所述的方法,其中所述的拍摄步骤进一步包括:
在所述视野中定位一个反射器。
60.根据权利要求59所述的方法,进一步包括控制所述反射器相对于所述照相机的方向。
61.根据权利要求43所述的方法,进一步包括:
控制照相机相对于所述自动机械的方向。
62.根据权利要求43所述的方法,进一步包括:
利用连接到所述自动机械的一个光源照亮所述照相机视野内物体。
63.一种获取自动机械的参考坐标的方法,该自动机械位于一个半导体处理系统内,所述方法包括:
在一个自动机械上定位一个照相机;
利用一个照相机观察一个目标;和
确定所述目标的图像与一个预定位置之间的相对距离。
64.根据权利要求63所述的方法,其中所述的确定步骤进一步包括:
把所述目标与一个标记比较。
65.根据权利要求64所述的方法,其中所述的确定步骤进一步包括:
把所述目标的图像与存储在所述控制器中的一个参考图像比较。
66.一种用于观察处理系统内部的方法,该方法包括:
在一个自动机械上定位一个照相机;
在所述处理系统内移动所述照相机;和
把所述照相机观察的图像传送到一个控制器。
67.根据权利要求66所述的方法,其中所述定位照相机的步骤进一步包括:
调整照相机的方向以向上观察。
68.根据权利要求66所述的方法,其中所述定位照相机的步骤进一步包括:
调整照相机的方向以向下观察。
69.根据权利要求66所述的方法,其中所述定位照相机的步骤进一步包括:
调整照相机的方向以观察位于所述自动机械的一个末端执行器上的一个反射器。
70.根据权利要求69所述的方法,其中所述反射器被调整方向以反射所述照相机视野外的物体。
71.根据权利要求70所述的方法,进一步包括:
控制所述反射器相对于所述照相机的方向。
72.根据权利要求66所述的方法,进一步包括:
在所述控制器的一个监视器上显示图像。
73.根据权利要求66所述的方法,进一步包括:
控制所述照相机相对于所述自动机械的方向。
74.一种用于获取半导体处理系统内的视图的方法,该方法包括:
利用位于半导体处理系统内的一个自动机械移动照相机;
利用所述照相机拍摄一个或多个图像;
传送所拍摄的图像;
接收所拍摄的图像;和
解释所拍摄的图像。
75.根据权利要求74所述的方法,其中所述的解释所拍摄的图像的步骤进一步包括:
检查所述半导体处理系统的内部。
76.根据权利要求74所述的方法,其中所述的移动所述照相机的步骤进一步包括:
把所述照相机暴露于一个真空环境。
77.根据权利要求74所述的方法,进一步包括:
在处理系统内处理衬底,同时移动所述照相机。
78.根据权利要求74所述的方法,进一步包括:
控制所述照相机相对于所述自动机械的方向。
79.根据权利要求74所述的方法,其中所述的拍摄步骤进一步包括:
从一个反射器反射要被拍摄的图像到所述照相机;和
控制所述反射器相对于所述照相机的方向。
CN038108046A 2002-04-19 2003-04-18 视觉系统 Expired - Lifetime CN1653326B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/126,493 2002-04-19
US10/126,493 US7085622B2 (en) 2002-04-19 2002-04-19 Vision system
PCT/US2003/012288 WO2004017387A2 (en) 2002-04-19 2003-04-18 Vision system

Publications (2)

Publication Number Publication Date
CN1653326A true CN1653326A (zh) 2005-08-10
CN1653326B CN1653326B (zh) 2011-08-17

Family

ID=29215040

Family Applications (1)

Application Number Title Priority Date Filing Date
CN038108046A Expired - Lifetime CN1653326B (zh) 2002-04-19 2003-04-18 视觉系统

Country Status (8)

Country Link
US (1) US7085622B2 (zh)
EP (1) EP1514094B1 (zh)
JP (1) JP4703187B2 (zh)
KR (1) KR101011076B1 (zh)
CN (1) CN1653326B (zh)
AU (1) AU2003285810A1 (zh)
DE (1) DE60309467T2 (zh)
WO (1) WO2004017387A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101996857A (zh) * 2009-08-07 2011-03-30 台湾积体电路制造股份有限公司 制造半导体装置的系统及方法
US9464938B2 (en) 2014-02-06 2016-10-11 The Boeing Company Systems and methods for measuring polarization of light in images

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US6952255B2 (en) * 2003-08-06 2005-10-04 Lam Research Corporation System and method for integrated multi-use optical alignment
US7107125B2 (en) * 2003-10-29 2006-09-12 Applied Materials, Inc. Method and apparatus for monitoring the position of a semiconductor processing robot
US8111904B2 (en) 2005-10-07 2012-02-07 Cognex Technology And Investment Corp. Methods and apparatus for practical 3D vision system
US7549204B1 (en) * 2005-11-30 2009-06-23 Western Digital Technologies, Inc. Methods for picking and placing workpieces into small form factor hard disk drives
US8744624B1 (en) * 2006-05-11 2014-06-03 Kla-Tencor Corporation Substrate alignment system
US20070276539A1 (en) * 2006-05-25 2007-11-29 Babak Habibi System and method of robotically engaging an object
US7695232B2 (en) * 2006-06-15 2010-04-13 Applied Materials, Inc. Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US20080013823A1 (en) * 2006-06-30 2008-01-17 Behnke Merlin E Overhead traveling camera inspection system
US8437535B2 (en) 2006-09-19 2013-05-07 Roboticvisiontech Llc System and method of determining object pose
US20080097646A1 (en) * 2006-10-23 2008-04-24 Ramsey Craig C Calibration of a substrate handling robot
US20080276867A1 (en) 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
TWI326353B (en) * 2007-05-29 2010-06-21 Ind Tech Res Inst Anomaly detection system and method
US8126260B2 (en) 2007-05-29 2012-02-28 Cognex Corporation System and method for locating a three-dimensional object using machine vision
US8260461B2 (en) * 2007-08-30 2012-09-04 Applied Materials, Inc. Method and system for robot calibrations with a camera
US8224607B2 (en) 2007-08-30 2012-07-17 Applied Materials, Inc. Method and apparatus for robot calibrations with a calibrating device
EP3281671A1 (en) * 2007-09-13 2018-02-14 ProCure Treatment Centers, Inc. Patient positioner system
US8923602B2 (en) * 2008-07-22 2014-12-30 Comau, Inc. Automated guidance and recognition system and method of the same
JP5597536B2 (ja) * 2008-08-01 2014-10-01 株式会社アルバック 搬送ロボットのティーチング方法
US8559699B2 (en) 2008-10-10 2013-10-15 Roboticvisiontech Llc Methods and apparatus to facilitate operations in image based systems
US8341593B2 (en) * 2008-10-23 2012-12-25 Sap Ag Integrated development framework for composite applications
US9734419B1 (en) 2008-12-30 2017-08-15 Cognex Corporation System and method for validating camera calibration in a vision system
US11699247B2 (en) * 2009-12-24 2023-07-11 Cognex Corporation System and method for runtime determination of camera miscalibration
US9393694B2 (en) 2010-05-14 2016-07-19 Cognex Corporation System and method for robust calibration between a machine vision system and a robot
JP6111065B2 (ja) * 2012-12-28 2017-04-05 川崎重工業株式会社 自動教示システム及び教示方法
JP2014188617A (ja) * 2013-03-27 2014-10-06 Seiko Epson Corp ロボット制御システム、ロボット、ロボット制御方法及びプログラム
US9442482B2 (en) * 2013-04-29 2016-09-13 GlobalFoundries, Inc. System and method for monitoring wafer handling and a wafer handling machine
US9111979B2 (en) * 2013-05-16 2015-08-18 Kevin P Fairbairn System and method for real time positioning of a substrate in a vacuum processing system
US10203683B2 (en) 2013-07-16 2019-02-12 Seagate Technology Llc Coordinating end effector and vision controls
US9555549B2 (en) * 2013-10-31 2017-01-31 Seiko Epson Corporation Control device, robot, robot system, and control method
JP2015168012A (ja) * 2014-03-04 2015-09-28 株式会社安川電機 教示ジグ、教示システムおよび教示方法
ES2654335T3 (es) 2014-10-23 2018-02-13 Comau S.P.A. Sistema para monitorizar y controlar una instalación industrial
KR20230048568A (ko) 2014-11-10 2023-04-11 브룩스 오토메이션 인코퍼레이티드 툴 자동-교시 방법 및 장치
CN107324041B (zh) 2016-04-29 2019-11-26 上海微电子装备(集团)股份有限公司 用于片盒夹持的机械手及自动片盒搬运装置
WO2017192250A1 (en) * 2016-05-05 2017-11-09 Applied Materials, Inc Robot subassemblies, end effector assemblies, and methods with reduced cracking
US10090188B2 (en) 2016-05-05 2018-10-02 Applied Materials, Inc. Robot subassemblies, end effector assemblies, and methods with reduced cracking
WO2017196540A1 (en) 2016-05-13 2017-11-16 Applied Materials, Inc. Sensor based auto-calibration wafer
KR20170140963A (ko) * 2016-06-14 2017-12-22 (주)제이티 무선이동모듈, 그가 설치된 소자핸들러
JP6923344B2 (ja) 2017-04-13 2021-08-18 株式会社Screenホールディングス 周縁処理装置および周縁処理方法
JP7021877B2 (ja) 2017-08-08 2022-02-17 株式会社Screenホールディングス 基板処理装置、位置合わせ装置および位置合わせ方法
IT201800005091A1 (it) 2018-05-04 2019-11-04 "Procedimento per monitorare lo stato di funzionamento di una stazione di lavorazione, relativo sistema di monitoraggio e prodotto informatico"
JP2019216152A (ja) * 2018-06-12 2019-12-19 株式会社荏原製作所 基板搬送システムのためのティーチング装置およびティーチング方法
JP7103910B2 (ja) * 2018-10-15 2022-07-20 東京エレクトロン株式会社 組付け状態提示装置および組付け状態提示方法
WO2020091846A1 (en) 2018-10-30 2020-05-07 Mujin, Inc. Automated package registration systems, devices, and methods
US10369701B1 (en) 2018-10-30 2019-08-06 Mujin, Inc. Automated package registration systems, devices, and methods
CN111230858B (zh) * 2019-03-06 2022-11-22 南昌工程学院 基于增强学习的视觉机器人运动控制方法
WO2021021501A1 (en) * 2019-07-29 2021-02-04 Lam Research Corporation Integrated hardware-software computer vision system for autonomous control and inspection of substrate processing systems
US11164769B2 (en) * 2019-07-30 2021-11-02 Brooks Automation, Inc. Robot embedded vision apparatus
CN111239139B (zh) * 2020-01-21 2020-11-03 珠海锐翔智能科技有限公司 双工位fpc补材偏移、重贴/漏贴检查机
US11676845B2 (en) 2020-06-30 2023-06-13 Brooks Automation Us, Llc Automated teach apparatus for robotic systems and method therefor
JP2022060712A (ja) * 2020-10-05 2022-04-15 キオクシア株式会社 半導体製造装置
JP7121787B2 (ja) * 2020-10-29 2022-08-18 日本発條株式会社 ロボットハンド
CN113295704B (zh) * 2021-05-21 2024-02-09 内蒙古汇栋科技有限公司 机器人打磨视觉在线检测系统
CN117664862B (zh) * 2024-01-31 2024-06-18 宁德时代新能源科技股份有限公司 极耳检测系统和极耳检测方法

Family Cites Families (66)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4119381A (en) * 1976-12-17 1978-10-10 Eastman Kodak Company Incubator and radiometric scanner
US5012574A (en) * 1981-02-27 1991-05-07 Diffracto Ltd. Controlled machining of combustion chambers gears and other surfaces
US4819167A (en) * 1987-04-20 1989-04-04 Applied Materials, Inc. System and method for detecting the center of an integrated circuit wafer
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US5717785A (en) * 1992-01-30 1998-02-10 Cognex Corporation Method and apparatus for locating patterns in an optical image
US5446584A (en) * 1989-09-11 1995-08-29 Kensington Laboratories, Inc. Compact specimen processing station
IL99823A0 (en) * 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
DE69329269T2 (de) * 1992-11-12 2000-12-28 Applied Materials Inc System und Verfahren für automatische Positionierung eines Substrats in einem Prozessraum
US5479252A (en) * 1993-06-17 1995-12-26 Ultrapointe Corporation Laser imaging system for inspection and analysis of sub-micron particles
JP3261841B2 (ja) * 1993-12-27 2002-03-04 株式会社日立製作所 マルチ式ウエ−ハ処理装置
US5452521A (en) * 1994-03-09 1995-09-26 Niewmierzycki; Leszek Workpiece alignment structure and method
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
US6712577B2 (en) * 1994-04-28 2004-03-30 Semitool, Inc. Automated semiconductor processing system
US5552891A (en) * 1994-10-31 1996-09-03 International Business Machines Corporation Automated mask alignment for UV projection expose system
US5738574A (en) * 1995-10-27 1998-04-14 Applied Materials, Inc. Continuous processing system for chemical mechanical polishing
SG54995A1 (en) * 1996-01-31 1998-12-21 Texas Instr Singapore Pet Ltd Method and apparatus for aligning the position of die on a wafer table
JP3454400B2 (ja) * 1996-02-16 2003-10-06 三井金属鉱業株式会社 繰返しパターンの検査方法
GB2310716A (en) * 1996-02-28 1997-09-03 Daewoo Electronics Co Ltd Recognition of a fiducial mark on a printed circuit board
US5844683A (en) * 1996-05-22 1998-12-01 Applied Materials, Inc. Position sensor system for substrate holders
US5980194A (en) * 1996-07-15 1999-11-09 Applied Materials, Inc. Wafer position error detection and correction system
US5946083A (en) * 1997-10-01 1999-08-31 Texas Instruments Incorporated Fixed optic sensor system and distributed sensor network
TW350115B (en) * 1996-12-02 1999-01-11 Toyota Automatic Loom Co Ltd Misregistration detection device and method thereof
US5889593A (en) * 1997-02-26 1999-03-30 Kla Instruments Corporation Optical system and method for angle-dependent reflection or transmission measurement
US6757645B2 (en) * 1997-09-17 2004-06-29 Numerical Technologies, Inc. Visual inspection and verification system
US6002840A (en) * 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
US6012965A (en) * 1997-10-07 2000-01-11 Micro Optics Design Corp. Manufacturing ophthalmic lenses using lens structure cognition and spatial positioning system
AU1351199A (en) * 1997-12-03 1999-06-16 Nikon Corporation Substrate transferring device and method
JPH11207611A (ja) * 1998-01-21 1999-08-03 Shin Etsu Handotai Co Ltd 両面研磨装置におけるワークの自動搬送装置
US6198976B1 (en) * 1998-03-04 2001-03-06 Applied Materials, Inc. On the fly center-finding during substrate handling in a processing system
US6244121B1 (en) * 1998-03-06 2001-06-12 Applied Materials, Inc. Sensor device for non-intrusive diagnosis of a semiconductor processing system
US6068539A (en) * 1998-03-10 2000-05-30 Lam Research Corporation Wafer polishing device with movable window
US6051113A (en) * 1998-04-27 2000-04-18 Cvc Products, Inc. Apparatus and method for multi-target physical-vapor deposition of a multi-layer material structure using target indexing
JP3507330B2 (ja) * 1998-05-18 2004-03-15 大日本スクリーン製造株式会社 基板処理装置
JP2937244B1 (ja) * 1998-05-20 1999-08-23 株式会社東京精密 ウェーハのパターン撮像装置
US6352466B1 (en) * 1998-08-31 2002-03-05 Micron Technology, Inc. Method and apparatus for wireless transfer of chemical-mechanical planarization measurements
US6477265B1 (en) * 1998-12-07 2002-11-05 Taiwan Semiconductor Manufacturing Company System to position defect location on production wafers
JP4255091B2 (ja) * 1999-04-07 2009-04-15 株式会社日立国際電気 半導体製造方法
US6166509A (en) * 1999-07-07 2000-12-26 Applied Materials, Inc. Detection system for substrate clamp
US6882416B1 (en) * 1999-09-07 2005-04-19 Applied Materials, Inc. Methods for continuous embedded process monitoring and optical inspection of substrates using specular signature analysis
US6707545B1 (en) 1999-09-07 2004-03-16 Applied Materials, Inc. Optical signal routing method and apparatus providing multiple inspection collection points on semiconductor manufacturing systems
US6813032B1 (en) 1999-09-07 2004-11-02 Applied Materials, Inc. Method and apparatus for enhanced embedded substrate inspection through process data collection and substrate imaging techniques
US6693708B1 (en) * 1999-09-07 2004-02-17 Applied Materials, Inc. Method and apparatus for substrate surface inspection using spectral profiling techniques
US6721045B1 (en) 1999-09-07 2004-04-13 Applied Materials, Inc. Method and apparatus to provide embedded substrate process monitoring through consolidation of multiple process inspection techniques
US6707544B1 (en) * 1999-09-07 2004-03-16 Applied Materials, Inc. Particle detection and embedded vision system to enhance substrate yield and throughput
US6630995B1 (en) * 1999-09-07 2003-10-07 Applied Materials, Inc. Method and apparatus for embedded substrate and system status monitoring
JP2001210692A (ja) 2000-01-26 2001-08-03 Ebara Corp ティーチングの方法
US6532403B2 (en) * 2000-04-21 2003-03-11 Microtool, Inc Robot alignment system and method
US6691068B1 (en) * 2000-08-22 2004-02-10 Onwafer Technologies, Inc. Methods and apparatus for obtaining data for process operation, optimization, monitoring, and control
JP2002100664A (ja) * 2000-09-25 2002-04-05 Hitachi Kokusai Electric Inc 基板処理方法および装置
JP2004529485A (ja) * 2000-10-06 2004-09-24 アプライド マテリアルズ インコーポレイテッド 自動処理検査と階層型基板試験を規定するための方法と装置
US6648730B1 (en) * 2000-10-30 2003-11-18 Applied Materials, Inc. Calibration tool
US6591160B2 (en) 2000-12-04 2003-07-08 Asyst Technologies, Inc. Self teaching robot
EP1356682A4 (en) * 2001-01-30 2005-07-20 Greene Tweed Inc MONITORING SYSTEM FOR HOSTILE ENVIRONMENT
US6591161B2 (en) 2001-01-31 2003-07-08 Wafermasters, Inc. Method for determining robot alignment
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
JP3694808B2 (ja) 2001-04-13 2005-09-14 株式会社安川電機 ウェハ搬送用ロボットの教示方法および教示用プレート
US6671660B2 (en) * 2001-04-19 2003-12-30 Onwafer Technologies, Inc. Methods and apparatus for power control
WO2003003407A1 (en) 2001-06-28 2003-01-09 Greene, Tweed Of Delaware, Inc. Self contained sensing apparatus and system
US7289230B2 (en) 2002-02-06 2007-10-30 Cyberoptics Semiconductors, Inc. Wireless substrate-like sensor
US20050233770A1 (en) * 2002-02-06 2005-10-20 Ramsey Craig C Wireless substrate-like sensor
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US7233841B2 (en) * 2002-04-19 2007-06-19 Applied Materials, Inc. Vision system
US6900877B2 (en) * 2002-06-12 2005-05-31 Asm American, Inc. Semiconductor wafer position shift measurement and correction
JP4257570B2 (ja) 2002-07-17 2009-04-22 株式会社安川電機 搬送用ロボットのティーチング装置および搬送用ロボットのティーチング方法
US6890050B2 (en) * 2002-08-20 2005-05-10 Palo Alto Research Center Incorporated Method for the printing of homogeneous electronic material with a multi-ejector print head
US20050137751A1 (en) * 2003-12-05 2005-06-23 Cox Damon K. Auto-diagnostic method and apparatus

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101996857A (zh) * 2009-08-07 2011-03-30 台湾积体电路制造股份有限公司 制造半导体装置的系统及方法
CN101996857B (zh) * 2009-08-07 2013-05-29 台湾积体电路制造股份有限公司 制造半导体装置的系统及方法
US9464938B2 (en) 2014-02-06 2016-10-11 The Boeing Company Systems and methods for measuring polarization of light in images
CN104833424B (zh) * 2014-02-06 2017-10-24 波音公司 用于测量图像中的光的偏振的系统和方法

Also Published As

Publication number Publication date
KR20040101540A (ko) 2004-12-02
JP2005525709A (ja) 2005-08-25
EP1514094A2 (en) 2005-03-16
DE60309467D1 (de) 2006-12-14
CN1653326B (zh) 2011-08-17
EP1514094B1 (en) 2006-11-02
WO2004017387A3 (en) 2004-04-08
DE60309467T2 (de) 2007-09-20
US7085622B2 (en) 2006-08-01
AU2003285810A8 (en) 2004-03-03
KR101011076B1 (ko) 2011-01-25
JP4703187B2 (ja) 2011-06-15
WO2004017387A2 (en) 2004-02-26
US20030198376A1 (en) 2003-10-23
AU2003285810A1 (en) 2004-03-03

Similar Documents

Publication Publication Date Title
CN1653326A (zh) 视觉系统
KR101163237B1 (ko) 웨이퍼 운반 로봇을 교정하기 위한 시각화 시스템 및 방법
CN101351878B (zh) 基板交接装置、基板处理装置、基板交接方法
US9275886B2 (en) Device and method for detecting position of semiconductor substrate
US20150235368A1 (en) Displacement detection apparatus, substrate processing apparatus, displacement detection method and substrate processing method
WO2011151996A1 (ja) プラズマ処理装置及びプラズマ処理方法
JP2010087473A (ja) 基板位置合わせ装置及び基板処理装置
CN1638021A (zh) 自诊断方法和装置
TW202123377A (zh) 用於處理套件環損耗的檢測器
WO2021247586A1 (en) Diagnostic disc with a high vacuum and temperature tolerant power source
WO2021247573A1 (en) Diagnostic disc with a high vacuum and temperature tolerant power source
US20220310436A1 (en) Substrate Processing Apparatus, Teaching Information Generation Method, Teaching Set, and Substrate Jig
US20240066548A1 (en) Substrate processing apparatus
TW202345211A (zh) 基板處理裝置及基板處理方法
TW202314790A (zh) 端部狀態確認裝置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20110817

CX01 Expiry of patent term