KR20040084737A - 반도체 장치의 제조 방법 - Google Patents

반도체 장치의 제조 방법 Download PDF

Info

Publication number
KR20040084737A
KR20040084737A KR1020040019835A KR20040019835A KR20040084737A KR 20040084737 A KR20040084737 A KR 20040084737A KR 1020040019835 A KR1020040019835 A KR 1020040019835A KR 20040019835 A KR20040019835 A KR 20040019835A KR 20040084737 A KR20040084737 A KR 20040084737A
Authority
KR
South Korea
Prior art keywords
insulating film
semiconductor device
manufacturing
organic
plasma treatment
Prior art date
Application number
KR1020040019835A
Other languages
English (en)
Inventor
요시에도오루
Original Assignee
가부시끼가이샤 한도따이 센단 테크놀로지스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 가부시끼가이샤 한도따이 센단 테크놀로지스 filed Critical 가부시끼가이샤 한도따이 센단 테크놀로지스
Publication of KR20040084737A publication Critical patent/KR20040084737A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02137Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material comprising alkyl silsesquioxane, e.g. MSQ
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors

Abstract

본 발명의 과제는 실리콘 산화막과의 밀착성이 양호하고, 또한 유전율이 낮은 절연막을 이용한 반도체 장치의 제조 방법을 제공하는 것이다.
반도체 베이스 부재(1) 상에 유기 실록산을 주성분으로 하여 이 유기 실록산과 화학 결합이 없는 유기 성분을 포함하는 절연막(4)을 형성하는 공정과, 이 절연막(4)에 플라즈마 처리를 행함으로써 유기 성분을 제거하는 동시에 절연막(4)의 표면에 개질층(5)을 형성하는 공정을 갖는다. 플라즈마 처리는 산소, 수소 및 질소로 이루어지는 군으로부터 선택되는 적어도 1 종류의 원소를 포함하는 가스를 이용하여 행할 수 있다. 또, 유기 실록산은 분자 내에 알킬기 또는 아릴기를 갖는 것으로 할 수 있다.

Description

반도체 장치의 제조 방법{MANUFACTURING METHOD FOR SEMICONDUCTOR APPARATUS}
본 발명은 반도체 장치의 제조 방법에 관한 것으로, 보다 상세하게는 유전율이 낮은 절연막을 갖는 반도체 장치의 제조 방법에 관한 것이다.
최근, 반도체 디바이스의 고속화는 현저하여, 다층 배선부에 있어서의 배선 저항과 배선 사이나 배선층 사이의 기생 용량에 기인하는 신호 전파 속도의 저하에 따른 전송 지연이 문제가 되고 있다. 이러한 문제는, 반도체 디바이스의 고집적화에 수반되는 배선 폭 및 배선 간격의 미세화에 따라서 배선 저항이 상승하고, 또한 기생 용량이 증대되기 때문에 점점 현저해지는 경향이 있다.
종래부터, 이러한 배선 저항 및 기생 용량의 증대를 기초로 하는 신호 지연을 방지하기 위해, 알루미늄 배선 대신에 구리 배선의 도입이 행해지는 동시에, 층간 절연막으로서 유전율이 낮은 절연막 재료를 이용하는 것이 시도되어 왔다. 구체적으로는, 분자 내에 불소나 유기기를 도입한 실리콘 산화막을 예로 들 수 있다. 특히, 실리콘 산화막의 Si - O 결합의 일부를 Si - CH3결합으로 치환한 MSQ(Methyl Silsesquioxane)는, 유전율이 2.7 정도로 낮으므로 저유전율의 절연막 재료로서 유망시되고 있다. 그러나 MSQ는, 이 위에 형성하는 실리콘 산화막과의 밀착성이 결여되어 있으므로, 산소를 포함하는 가스를 이용한 플라즈마 처리에 의해 표면에 개질층을 형성하여 밀착성의 개선을 도모하고 있다.
한편, 보다 디자인 룰의 미세화가 진행된 세대의 반도체 디바이스를 향해 유전율의 저하가 한층 더 요구되고 있다. 이에 대해, 절연막을 다공질화하는 것이 행해져 왔다. 예를 들어, MSQ를 다공질화함으로써 유전율의 저하를 한층 더 도모하는 것이 가능해진다.
그러나, 다공질화한 MSQ에 상기한 플라즈마 처리를 행하면, MSQ 전체가 산화되어 친수성을 나타내게 된다. 따라서, 막 중에 수분을 많이 포함하게 되는 결과, 유전율이 상승한다는 문제가 있었다.
본 발명은 이러한 문제점에 비추어 이루어진 것이다. 즉, 본 발명의 목적은 실리콘 산화막과의 밀착성이 양호하고, 또한 유전율이 낮은 절연막을 이용한 반도체 장치의 제조 방법을 제공하는 데 있다.
본 발명의 다른 목적 및 이점은, 이하에 기재된 것으로부터 명백해질 것이다.
도1의 (a) 내지 도1의 (g)는 본 실시 형태에 있어서의 배선 형성 공정을 도시하는 단면도.
도2의 (a) 내지 도2의 (d)는 본 실시 형태에 있어서의 절연막의 형성 공정을 도시하는 단면도.
도3의 (a) 내지 도3의 (d)는 본 실시 형태에 있어서의 플라즈마 처리 후의 절연막의 적외선 흡수 스펙트럼.
도4의 (a) 내지 도4의 (d)는 본 실시 형태에 있어서의 가열 처리 후의 절연막의 적외선 흡수 스펙트럼.
도5는 본 실시 형태에 있어서, 플라즈마 처리 시간에 대한 절연막의 막 두께 및 굴절율의 변화를 나타낸 그래프.
도6은 본 실시 형태에 있어서, 플라즈마 처리 시간에 대한 절연막의 유전율 변화를 나타낸 그래프.
도7은 본 실시 형태에 있어서, 플라즈마 처리 시간에 대한 절연막의 접촉각 변화를 나타낸 그래프.
도8의 (a) 내지 도8의 (d)는 종래의 플라즈마 처리 후의 절연막의 적외선 흡수 스펙트럼.
도9는 종래의 플라즈마 처리 시간에 대한 절연막의 막 두께 및 굴절율의 변화를 나타낸 그래프.
<도면의 주요 부분에 대한 부호의 설명>
1 : 반도체 베이스 부재
2 : 실리콘 기판
3 : 제1 절연막
4 : 제2 절연막
5 : 개질층
6 : 보이드
7 : 제3 절연막
8 : 레지스트 패턴
9 : 배선홈
10 : 탄탈막
11, 12 : 구리막
본 발명에 있어서의 제1 반도체 장치의 제조 방법은, 반도체 베이스 부재 상에 유기 실록산을 주성분으로 하여 상기 유기 실록산과 화학 결합이 없는 유기 성분을 포함하는 절연막을 형성하는 공정과, 상기 절연막에 플라즈마 처리를 행함으로써 상기 유기 성분을 제거하는 동시에 상기 절연막의 표면에 개질층을 형성하는 공정을 갖는 것을 특징으로 하는 것이다.
제1 반도체 장치의 제조 방법에 있어서, 상기 절연막을 형성하는 공정은 CVD법에 의한 공정으로 할 수 있다.
또한 제1 반도체 장치의 제조 방법에 있어서, 상기 절연막을 형성하는 공정은 상기 유기 실록산 및 상기 유기 성분을 포함하는 절연막 조성물을 상기 반도체 베이스 부재 상에 도포하는 공정과, 상기 절연막 조성물을 100 ℃ 내지 200 ℃의 온도로 가열 처리하는 공정을 갖는 것으로 할 수도 있다.
제1 반도체 장치의 제조 방법에 있어서, 상기 플라즈마 처리는 산소, 수소 및 질소에 의해 이루어지는 군으로부터 선택되는 적어도 1 종류의 원소를 포함하는 가스를 이용하여 행할 수 있다.
제1 반도체 장치의 제조 방법에 있어서, 상기 유기 실록산은 분자 내에 알킬기 또는 아릴기를 갖는 것이 바람직하다. 이 경우, 상기 유기 실록산은 MSQ로 할 수 있다.
제1 반도체 장치의 제조 방법은, 상기 플라즈마 처리 후에 상기 절연막을 250 ℃ 내지 450 ℃의 온도로 가열 처리하는 공정을 더 가질 수 있다.
또한 제1 반도체 장치의 제조 방법은, 상기 플라즈마 처리 후에 상기 절연막을 400 ℃ 내지 450 ℃의 온도로 가열 처리하는 공정을 더 가질 수도 있다.
본 발명에 있어서의 제2 반도체 장치의 제조 방법은, 반도체 베이스 부재 상에 유기 실록산으로 이루어지는 절연막을 형성하는 공정과, 상기 절연막에 플라즈마 처리를 행함으로써 상기 유기 실록산으로부터 유기기를 제거하는 동시에, 상기 절연막의 표면에 개질층을 형성하는 공정을 갖는 것을 특징으로 하는 것이다.
제2 반도체 장치의 제조 방법에 있어서, 상기 절연막을 형성하는 공정은 CVD법에 의한 공정으로 할 수 있다.
또한 제2 반도체 장치의 제조 방법에 있어서, 상기 절연막을 형성하는 공정은 상기 유기 실록산을 포함하는 절연막 조성물을 상기 반도체 베이스 부재 상에 도포하는 공정과, 상기 절연막 조성물을 100 ℃ 내지 200 ℃의 온도로 가열 처리하는 공정으로 할 수도 있다.
제2 반도체 장치의 제조 방법에 있어서, 상기 플라즈마 처리는 산소, 수소 및 질소로 이루어지는 군으로부터 선택되는 적어도 1 종류의 원소를 포함하는 가스를 이용하여 행할 수 있다.
제2 반도체 장치의 제조 방법에 있어서, 상기 유기 실록산은 분자 내에 알킬기 또는 아릴기를 갖는 것이 바람직하다. 이 경우, 상기 유기 실록산은 페닐메틸실록산으로 할 수 있다.
제2 반도체 장치의 제조 방법은, 상기 플라즈마 처리 후에 상기 절연막을 250 ℃ 내지 450 ℃의 온도로 가열 처리하는 공정을 더 가질 수 있다.
또한, 제2 반도체 장치의 제조 방법은 상기 플라즈마 처리 후에 상기 절연막을 400 ℃ 내지 450 ℃의 온도로 가열 처리하는 공정을 더 가질 수도 있다.
이하, 본 발명의 실시 형태를 도면을 참조하면서 상세하게 설명한다.
도1의 (a) 내지 도1의 (g)는, 다마신 기법에 의한 배선 형성 공정을 도시하는 단면도이다. 우선, 도1의 (a)에 도시한 바와 같이 반도체 베이스 부재(1)로서 실리콘 기판(2) 상에 제1 절연막(3)이 형성된 기판을 준비한다. 제1 절연막(3)으로서는, 예를 들어 탄화 실리콘(SiC)막 또는 질화 실리콘(SiN)막을 이용할 수 있다. 이들 막은, 플라즈마 CVD(Chemical Vapor Deposition)법 등에 의해 실리콘 기판 상에 형성할 수 있다.
다음에, 반도체 베이스 부재 상에 제2 절연막을 형성한다. 본 실시 형태에 있어서 제2 절연막이라 함은, 보이드를 갖는 유기 실록산계의 저유전율 절연막을 말한다.
제2 절연막의 형성을 도2의 (a) 내지 도2의 (d)를 이용하여 설명한다. 또, 도1과 동일한 부호를 붙인 부위는 동일한 부분인 것을 나타내고 있다.
우선, 도2의 (a)에 도시한 바와 같이 제1 절연막(3) 상에, 유기 실록산을 주성분으로 하여 유기 실록산과 화학 결합이 없는 유기 성분을 포함하는 절연막(4)을 형성한다.
유기 실록산은, 예를 들어 분자 내에 알킬기 또는 아릴기를 갖는 실록산으로 할 수 있다. 구체적으로는, 실리콘 산화막에 있어서 Si - 0 결합의 일부가 Si - CH3으로 치환된 MSQ(Methyl Silsesquioxane)를 이용하는 것이 바람직하다.
본 발명에 있어서의 유기 성분은, 절연막을 다공질화하는 목적으로 사용된다. 예를 들어, 유기 실록산을 구성하는 유기기의 분해 온도보다도 낮은 온도로 분해 및 증발하는 것을 유기 성분으로서 이용할 수 있다. 이러한 유기 성분이 증발하여 실록산 골격으로부터 빠져 나옴으로써, 절연막에 다수의 보이드를 형성할 수 있다. 또, 유기 성분은 분해 후에 기화하여 실록산 골격으로부터 빠져 나오는 것이면 좋다. 따라서, 유기 성분은 분해 및 증발하는 것에 한정되지 않고, 분해 및 승화하는 것이라도 좋다.
또한, 절연막(4)은 유기 실록산으로 이루어지는 막이라도 좋다. 이 경우 유기 실록산은, 분해되어 실록산 골격으로부터 빠져 나올 수 있는 유기기를 갖고 있는 것을 요한다. 예를 들어, 가열에 의해 유기기가 분해되고, 이 유기기가 저분자량의 기체가 되어 실록산 골격으로부터 빠져 나옴으로써, 상기와 같이 보이드를 형성할 수 있다. 여기서, 유기기를 실리콘에 직접 결합하고 있지 않은 것으로 함으로써, 분해에 의해 유기기가 떨어져도 실록산 골격을 보유 지지하는 것이 가능해진다. 이러한 유기 실록산의 예로서는, 화학식 1에 나타내는 것을 들 수 있다.
유기 실록산을 주성분으로 하여 이 유기 실록산과 화학 결합이 없는 유기 성분을 포함하는 절연막의 형성은, 예를 들어 유기 성분과 유기 실란의 혼합 가스를 반응 가스로 한 CVD법에 의해 행할 수 있다.
또한, 상기한 절연막의 형성은 도포법에 의해 행할 수도 있다. 예를 들어, 유기 성분 및 유기 실록산을 적당한 유기 용매에 녹여 절연막 조성물을 조정하고, 이를 반도체 베이스 부재 상에 회전 도포법 등에 의해 도포한다. 여기서, 본 발명에 있어서의 유기 실록산은 가교가 진행된 유기 폴리실록산이며, 용매를 제거함으로써 폴리머막이 되는 것이 바람직하다. 도포 후에는, 가열로 등을 이용하여 가열 처리를 실시함으로써 도포막을 형성한다. 가열 온도는, 100 ℃ 내지 200 ℃의 범위에 있는 것이 바람직하다. 이에 의해, 절연막 조성물로부터 용매를 제거할 수 있는 동시에, 유기 성분의 일부를 분해 및 기화시켜 보이드를 형성할 수 있다.또, 이 가열 처리에 있어서 용매는 후공정에서 취급에 지장이 없을 정도로 제거되면 좋고, 완전하게 제거되지 않아도 좋다.
한편, 분해 및 제거 가능한 유기기를 갖는 유기 실록산으로 이루어지는 절연막의 형성도, CVD법 및 도포법 중 어느 하나의 방법에 의해서도 행할 수 있다.
다음에, 도2의 (b)에 도시한 바와 같이 절연막(4)의 표면에 플라즈마 처리를 행한다.
본 발명의 플라즈마 처리는 산소(O), 수소(H) 및 질소(N)로 이루어지는 군으로부터 선택되는 적어도 1 종류의 원소를 포함하는 가스를 이용하여 행한다. 즉, 산소(O2) 가스, 수소(H2) 가스 및 질소(N2) 가스 중 1 종류의 가스를 이용하여 행해도 좋고, 2 종류 또는 3 종류를 조합한 혼합 가스를 이용하여 행해도 좋다. 또한 산소, 수소 및 질소 중 적어도 1개를 구성 원소로 하는 가스를 이용하여 행해도 좋다. 구체적으로는, 일산화이질소(N2O) 가스 등을 예로 들 수 있다. 또한, 이들 가스에 아르곤(Ar) 등의 불활성 가스가 희석 가스로서 포함되어 있어도 좋다.
플라즈마 처리는, 범용의 플라즈마 처리 장치를 이용하여 행할 수 있다. 예를 들어, 플라즈마 처리 장치의 진공 챔버 내에 설치된 대향 전극 사이에 절연막이 형성된 반도체 베이스 부재를 적재한다. 다음에, 진공 챔버 내를 소정의 진공도로 한 후, 이 안에 예를 들어 산소 가스를 소정의 유량으로 도입한다. 대향 전극 사이에 고주파 전력을 인가하면 플라즈마가 생성되어, 절연막에 대해 플라즈마 처리를 행할 수 있다.
산소 가스 또는 산소를 구성 원소로서 포함하는 가스를 이용하여 플라즈마 처리를 행하면, 플라즈마 중의 산소가 절연막인 유기 실록산막 중의 메틸기 탄소로 치환된다. 이에 의해, 도2의 (c)에 도시한 바와 같이 Si - O 결합을 많이 포함하는 개질층(5)이 절연막(4)의 표면에 형성된다. 또한, 플라즈마 처리에 의해 절연막 중에 포함되는 유기 성분이 분해된다. 분해된 유기 성분은 기화하여 절연막 중으로부터 빠져 나오기 때문에, 이후에는 보이드(6)가 형성된다. 또, 절연막(4)이 분해 제거 가능한 유기기를 갖는 유기 실록산인 경우에는, 플라즈마 처리에 의해 유기 실록산이 유기기 부분에서 분해되고, 이것이 실록산 골격으로부터 빠져 나옴으로써 보이드(6)가 형성된다.
한편, 산소를 포함하지 않는 가스를 이용하여 플라즈마 처리를 행한 경우에도, 마찬가지로 유기 성분의 분해 및 기화(또는, 유기 실록산의 분해)가 발생하여 절연막(4) 중에 보이드(6)를 형성한다. 한편, 절연막(4) 중의 탄소 원자는 산소 이외의 원소로 치환된다. 예를 들어, 수소 가스를 이용하여 플라즈마 처리를 행한 경우, 탄소가 수소로 치환됨으로써 절연막의 표면에는 Si - H 결합을 많이 포함하는 개질층이 형성된다.
본 발명에 있어서는, 플라즈마 처리를 종료한 후에 다시 250 ℃ 내지 450 ℃의 범위의 온도로 가열 처리를 행해도 좋다. 이에 의해, 도2의 (d)에 도시한 바와 같이 절연막 중에 포함되는 남은 유기 성분을 다시 분해 및 기화시켜, 절연막(4)에 다수의 보이드(6)를 형성할 수 있다. 또, 절연막(4)이 분해 제거 가능한 유기기를 갖는 유기 실록산인 경우에는, 이 가열 처리에 의해 다시 유기기의 분해를 진행시킬 수 있다. 단, 플라즈마 처리에 의해 충분한 보이드율이 확보되어 있는 경우에는, 이 가열 처리를 행할 필요는 없다.
또 본 발명에 있어서는, 플라즈마 처리를 종료한 후에 다시 400 ℃ 내지 450 ℃의 범위의 온도로 가열 처리를 행해도 좋다. 이에 의해, 절연막 중의 실라놀기(- SiOH)를 중축합시킬 수도 있다. 이에 대해, 이하에 상세하게 서술한다.
예를 들어, 산소를 포함하는 가스를 이용하여 플라즈마 처리를 행한 경우, 절연막 중의 탄소가 산소로 치환되어 Si - 0 결합을 형성하기 위해, 플라즈마 처리 후의 절연막 중에는 친수성 실라놀기(- SiOH)가 많이 존재하게 된다. 또한, 산소를 포함하지 않은 가스를 이용하여 플라즈마 처리를 행한 경우에는, Si - H 결합을 생성하거나, 덩글링 본드를 갖는 Si가 생성되거나 한다. 이들은 절연막 중에 포함되는 수분과 쉽게 반응하여 실라놀기로 바뀐다. 실라놀기가 절연막 중에 많이 존재하면 흡습성이 높아져 유전율이 상승하게 되므로, 실라놀기를 절연막 중으로부터 제거하는 것이 필요해진다.
플라즈마 처리 후에 400 ℃ 내지 450 ℃의 온도로 가열 처리를 행함으로써, 실라놀기의 중축합 반응을 일으켜 절연막 중으로부터 실라놀기를 제거하는 것이 가능해진다. 또 이 가열 처리를 행함으로써, 절연막 중에 포함되는 수분을 제거할 수도 있다. 따라서, 절연막 중의 Si - O 결합이나 Si - H 결합이 물과 반응하여 실라놀기가 되는 것을 방지할 수 있다.
또한, 400 ℃ 내지 450 ℃의 온도로 가열 처리를 행함으로써, 동시에 절연막중에 포함되는 유기 성분의 분해 및 기화(또는, 유기 실록산의 분해)를 진행할 수도 있다.
따라서, 절연막 중의 보이드율의 증가와 실라놀기의 중합 반응의 양방을 행하는 것을 목적으로 하는 경우에는, 플라즈마 처리 후에 400 ℃ 내지 450 ℃의 온도로 가열 처리하는 것이 바람직하다. 한편, 절연막 중의 보이드율의 증가만을 목적으로 하는 경우에는, 250 ℃ 내지 450 ℃의 온도로 가열 처리하는 것이 바람직하다. 또, 보이드율의 증가 및 실라놀기의 중합 반응 중 어느 하나도 행하지 않는 경우에는, 플라즈마 처리 후에 가열 처리를 행할 필요는 없다.
이와 같이, 플라즈마 처리 공정 및 가열 처리 공정의 2개의 공정으로 유기 성분의 분해 및 기화를 행함으로써, 가열 처리 공정에서만 유기 성분의 분해 및 기화를 행하는 경우에 비하면, 보다 완전하게 유기 성분을 절연막 중으로부터 제거할 수 있다. 이는, 분해 및 제거 가능한 유기기를 갖는 유기 실록산을 절연막으로서 이용한 경우도 마찬가지다. 막의 보이드율이 커질수록 유전율은 저하하므로, 보다 낮은 유전율의 절연막으로 하는 것이 가능해진다.
또한, 2 단계로 유기 성분의 분해 및 기화(또는, 유기 실록산의 분해)를 행함으로써, 가열 처리 공정에 있어서의 온도를 종래보다 낮게 하는 것도 가능하다. 가열 온도를 낮춤으로써, 가열에 의한 반도체 장치의 특성 저하를 방지할 수 있는 동시에, 비용 절감을 도모할 수도 있다.
이상의 공정에 의해, 도1의 (b)에 도시한 바와 같이 제1 절연막(3) 상에 제2 절연막(4)을 형성할 수 있다. 제2 절연막(4)은 그 표면에 개질층(5)을 갖는다.
다음에, 도1의 (c)에 도시한 바와 같이 개질층(5) 상에 제3 절연막(7)을 형성한다. 제3 절연막(7)으로서는 실리콘 산화막을 이용할 수 있고, 도포법 또는 CVD법 등에 의해 형성할 수 있다.
다음에, 제3 절연막(7) 상에 레지스트막(도시하지 않음)을 형성하고, 포토리소 그래피법에 의해 원하는 배선 패턴을 갖는 레지스트 패턴(8)을 형성한다[도1의 (d)]. 그 후, 레지스트 패턴(8)을 마스크로 하고, 제3 절연막(7), 제2 절연막(4) 및 제1 절연막(3)을 에칭하여 배선홈(9)을 마련한다[도1의 (e)].
다음에, 제3 절연막(7) 및 배선홈(9)에 탄탈막(10)을 스퍼터링법에 의해 형성한다. 탄탈막(10)은 질화 탄탈막이라도 좋다. 다음에, 탄탈막(10) 상에 구리막(11)을 스퍼터링법에 의해 형성한다. 그 후, 배선홈(9)을 메우도록 하여 구리막(12)을 도금법 등에 의해 형성한다[도1의 (f)]. 마지막으로, 배선홈(9) 이외의 부분에 있는 구리막(12), 구리막(11) 및 탄탈막(10)을 화학 기계 연마법에 의해 제거하여 도1의 (g)에 도시하는 구조로 한다.
이상의 공정에 의해, 유전율이 낮은 절연막을 갖는 배선 구조를 형성할 수 있다.
이하에, 본 실시 형태에 의해 제2 절연막을 형성하는 경우의 일예에 대해 서술한다.
실리콘 기판 상에 형성한 질화 실리콘막 상에, 유기 성분을 포함하는 MSQ막을 도포법에 의해 형성한다. 200 ℃ 정도의 온도로 가열 처리를 행한 후, N2O 가스를 이용하여 플라즈마 처리를 행한다. 예를 들어, 압력이 1,000 ㎩인 진공 챔버 내에 N2O 가스에 희석 가스로서 Ar 가스를 혼합한 가스를 도입한다. 이 때, N2O 가스의 유량을 200 ㏄m으로 하고, Ar 가스의 유량을 1,000 ㏄m으로 한다. 대향 전극 사이에 13.56 ㎒의 고주파를 200 W의 전력으로 인가함으로써, MSQ막에 대해 플라즈마 처리를 행할 수 있다. 또, 플라즈마 처리시의 기판의 온도는 250 ℃ 정도로 한다.
도3은, 200 ℃로 가열 처리한 후의 MSQ막 및 플라즈마 처리 후의 MSQ막에 대해 측정한 적외선 흡수 스펙트럼의 결과이다. 도3의 (a)는 가열 처리 후의 스펙트럼이고, 도3의 (b), 도3의 (c), 도3의 (d)는 플라즈마 처리 시간을 각각 5초간, 10초간, 15초간으로 한 경우의 스펙트럼이다.
도3에 있어서, 2,800 ㎝-1내지 3,000 ㎝-1부근의 흡수는 MSQ막 중에 포함되는 유기 성분에 의한 것이다. 가열 처리 후의 흡수가 가장 강하고, 플라즈마 처리 시간이 길수록 흡수가 약해지는 것을 알 수 있다. 또한, 3,500 ㎝-1부근의 흡수는 물에 의한 것이며, 플라즈마 처리에 의해 흡수가 약해지는 것을 알 수 있다.
다음에, 플라즈마 처리 후의 MSQ막에 대해 450 ℃ 정도의 온도로 가열 처리를 행한다. 도4는, 도3의 시료에 대해 가열 처리를 행한 후의 적외선 흡수 스펙트럼을 도시한 것이다. 도4의 (a)는 200 ℃로 가열 처리한 후, 플라즈마 처리를 행하지 않고 450 ℃로 가열 처리한 시료의 스펙트럼이다. 도4의 (b), 도4의 (c), 도4의 (d)는, 200 ℃로 가열 처리한 후 각각 5초간, 10초간, 15초간의 플라즈마 처리를 행하고 450 ℃로 가열 처리한 시료의 스펙트럼이다.
도4로부터, 2,800 ㎝-1내지 3,000 ㎝-1부근의 유기 성분의 흡수가 소실되어 있는 것을 알 수 있다. 또한 도4의 (b), 도4의 (c), 도4의 (d)의 스펙트럼에 큰 변화는 보이지 않으므로, 플라즈마 처리 시간을 15초간으로 해도 막 중에 큰 손상이 발생되어 있지 않은 것을 알 수 있다.
도5에, 도4의 시료에 대해 플라즈마 처리 시간에 대한 막 두께 및 굴절율의 변화를 비교한 결과를 나타낸다. 또, 측정은 분광 엘립소법을 이용하고 단층막이라 가정하여 행하였다. 플라즈마 처리를 행함으로써, 막 두께의 증가 및 굴절율의 감소가 발생하는 것을 알 수 있다. 그러나, 플라즈마 처리 시간이 15초간이 되면, 반대로 막 두께가 급격하게 감소하는 한편, 굴절율은 현저하게 증대하게 된다.
도6은, 도5의 막 두께 측정의 결과를 바탕으로 용량 측정에 의해 구한 유전율을 비교한 것이다. 플라즈마 처리를 행함으로써 유전율이 감소하는 것을 알 수 있다. 이는, 플라즈마 처리에 의해 MSQ막 중의 유기 성분이 분해 및 제거되는 결과, 가열 처리를 행하는 경우에만 비교하여 막 중의 보이드율이 커지는 것에 의한다고 생각할 수 있다. 한편, 플라즈마 처리 시간이 15초간이 되면 유전율은 상승하게 된다. 이는, 플라즈마 처리 후에 생성되는 실라놀기의 양이 많아지므로, 가열 처리 후도 막 중에 잔존하는 것에 의한 것이라 생각할 수 있다.
도7은 도5의 시료에 대해 접촉각을 비교한 것이다. 이 결과로부터, 플라즈마 처리 시간이 10초간 이상이 되면 완전히 친수성을 나타내는 것을 알 수 있다.이는 MSQ막 중의 탄소가 산소로 치환되어, 표면에 개질층이 형성되는 것에 의한 것이라 생각된다. 이러한 친수성의 개질층이 형성됨으로써, 상층에 실리콘 산화막을 형성한 경우 충분한 밀착성을 확보할 수 있게 된다.
다음에, 비교를 위해 종래의 절연막 형성 방법에 대해 나타낸다.
실리콘 기판 상에 형성된 질화 실리콘막 상에, 유기 성분을 포함하는 MSQ막을 도포법에 의해 형성한다. 450 ℃ 정도의 온도로 가열 처리를 행한 후, N2O 가스를 이용하여 플라즈마 처리를 행한다. 예를 들어, 압력이 1,000 ㎩인 진공 챔버 내에, N2O 가스에 희석 가스로서 Ar 가스를 혼합한 가스를 도입한다. 이 때, N2O 가스의 유량을 200 ㏄m으로 하고, Ar 가스의 유량을 1,000 ㏄m으로 한다. 대향 전극 사이에 13.56 ㎒의 고주파를 200 W의 전력으로 인가함으로써, MSQ막에 대해 플라즈마 처리를 행할 수 있다. 또, 플라즈마 처리시의 기판의 온도는 250 ℃ 정도로 한다.
도8은 플라즈마 처리 후의 MSQ막에 대해 측정한 적외선 흡수 스펙트럼의 결과이다. 도8의 (a)는 가열 처리 후의 스펙트럼이고, 도8의 (b), 도8의 (c), 도8의 (d)는 플라즈마 처리 시간을 각각 5초간, 10초간, 15초간으로 한 경우의 스펙트럼이다.
도8에 있어서, 1,200 ㎝-1부근의 흡수는 메틸기에 의한 것이다. 플라즈마 처리 시간에 따라 흡수가 약해지는 것을 알 수 있다. 이는, 메틸기의 탄소가 플라즈마 중의 산소로 치환된 것에 의한 것이다. 한편, 3,500 ㎝-1부근의 흡수는 물에 의한 것이며, 플라즈마 처리에 의해 흡수가 강해지는 것을 알 수 있다.
도9에, 도8의 시료에 대해 플라즈마 처리 시간에 대한 막 두께 및 굴절율의 변화를 비교한 결과를 나타낸다. 또, 측정은 분광 엘립소법을 이용하고 단층막이라 가정하여 행하였다. 플라즈마 처리를 행함으로써 급격히 막 두께가 감소하는 한편, 굴절율이 증가하는 것을 알 수 있다.
본 실시 형태에 따르면, 플라즈마 처리를 행함으로써 절연막의 표면에 개질층을 형성하여, 실리콘 산화막과의 밀착성을 향상시킬 수 있다. 따라서, 막 박리 등의 불량을 저감시킬 수 있으므로, 반도체 제조 공정에 있어서의 수율을 향상시켜 신뢰성이 우수한 반도체 장치를 제조하는 것이 가능해진다.
또 본 실시 형태에 따르면, 플라즈마 처리 공정 및 이에 이어지는 가열 처리 공정으로 유기 성분의 분해 및 기화(또는, 유기 실록산의 분해)를 행함으로써, 절연막 중에 포함되는 유기 성분의 대부분을 제거할 수 있다. 이에 의해, 막의 보이드율을 높여 유전율의 저하를 도모할 수 있다. 따라서, 반도체 디바이스의 기생 용량을 대폭 저감하여, 미세화에 수반하는 신호 지연을 억제할 수 있다.
또한 본 실시 형태에 따르면, 플라즈마 처리 후에 생성된 실라놀기를 가열 처리에 의해 반응시킴으로써, 막의 흡습성을 저하시켜 유전율의 상승을 방지할 수 있다.
또 본 실시 형태에 있어서는, 절연막이 배선 형성 공정에 사용되는 경우에대해 설명하였으나 본 발명은 이에 한정되는 것은 아니다. 무기막과의 밀착성이 좋은 다공질막을 형성하는 목적이면, 본 발명을 적용할 수 있다.
본 발명에 따르면, 실리콘 산화막과의 밀착성이 양호하고 유전율이 낮은 절연막을 형성할 수 있다. 따라서, 반도체 디바이스의 기생 용량을 저감하여 미세화에 수반되는 신호 지연을 억제할 수 있다. 또, 막 박리 등의 불량을 저감시킬 수 있으므로, 반도체 제조 공정에 있어서의 수율을 향상시켜 신뢰성이 우수한 반도체 장치를 제조할 수 있다.

Claims (16)

  1. 반도체 베이스 부재 상에, 유기 실록산을 주성분으로 하여 상기 유기 실록산과 화학 결합이 없는 유기 성분을 포함하는 절연막을 형성하는 공정과, 상기 절연막에 플라즈마 처리를 행함으로써 상기 유기 성분을 제거하는 동시에 상기 절연막의 표면에 개질층을 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  2. 제1항에 있어서, 상기 절연막을 형성하는 공정은 CVD법에 의한 공정인 반도체 장치의 제조 방법.
  3. 제1항에 있어서, 상기 절연막을 형성하는 공정은 상기 유기 실록산 및 상기 유기 성분을 포함하는 절연막 조성물을 상기 반도체 베이스 부재 상에 도포하는 공정과, 상기 절연막 조성물을 100 ℃ 내지 200 ℃의 온도로 가열 처리하는 공정을 갖는 반도체 장치의 제조 방법.
  4. 제1항에 있어서, 상기 플라즈마 처리는 산소, 수소 및 질소로 이루어지는 군으로부터 선택되는 적어도 1 종류의 원소를 포함하는 가스를 이용하여 행하는 반도체 장치의 제조 방법.
  5. 제1항에 있어서, 상기 유기 실록산은 분자 내에 알킬기 또는 아릴기를 갖는 반도체 장치의 제조 방법.
  6. 제5항에 있어서, 상기 유기 실록산은 MSQ인 반도체 장치의 제조 방법.
  7. 제1항에 있어서, 상기 플라즈마 처리 후에 상기 절연막을 250 ℃ 내지 450 ℃의 온도로 가열 처리하는 공정을 더 갖는 반도체 장치의 제조 방법.
  8. 제1항에 있어서, 상기 플라즈마 처리 후에 상기 절연막을 400 ℃ 내지 450 ℃의 온도로 가열 처리하는 공정을 더 갖는 반도체 장치의 제조 방법.
  9. 반도체 베이스 부재 상에, 유기 실록산으로 이루어지는 절연막을 형성하는 공정과, 상기 절연막에 플라즈마 처리를 행함으로써 상기 유기 실록산으로부터 유기기를 제거하는 동시에 상기 절연막의 표면에 개질층을 형성하는 공정을 갖는 것을 특징으로 하는 반도체 장치의 제조 방법.
  10. 제9항에 있어서, 상기 절연막을 형성하는 공정은 CVD법에 의한 공정인 반도체 장치의 제조 방법.
  11. 제9항에 있어서, 상기 절연막을 형성하는 공정은 상기 유기 실록산을 포함하는 절연막 조성물을 상기 반도체 베이스 부재 상에 도포하는 공정과, 상기 절연막 조성물을 100 ℃ 내지 200 ℃의 온도로 가열 처리하는 공정을 갖는 반도체 장치의 제조 방법.
  12. 제9항에 있어서, 상기 플라즈마 처리는 산소, 수소 및 질소로 이루어지는 군으로부터 선택되는 적어도 1 종류의 원소를 포함하는 가스를 이용하여 행하는 반도체 장치의 제조 방법.
  13. 제9항에 있어서, 상기 유기 실록산은 분자 내에 알킬기 또는 아릴기를 갖는 반도체 장치의 제조 방법.
  14. 제13항에 있어서, 상기 유기 실록산은 페닐메틸실록산인 반도체 장치의 제조 방법.
  15. 제9항에 있어서, 상기 플라즈마 처리 후에 상기 절연막을 250 ℃ 내지 450 ℃의 온도로 가열 처리하는 공정을 더 갖는 반도체 장치의 제조 방법.
  16. 제9항에 있어서, 상기 플라즈마 처리 후에 상기 절연막을 400 ℃ 내지 450 ℃의 온도로 가열 처리하는 공정을 더 갖는 반도체 장치의 제조 방법.
KR1020040019835A 2003-03-25 2004-03-24 반도체 장치의 제조 방법 KR20040084737A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2003-00082766 2003-03-25
JP2003082766A JP2004296476A (ja) 2003-03-25 2003-03-25 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
KR20040084737A true KR20040084737A (ko) 2004-10-06

Family

ID=33094930

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040019835A KR20040084737A (ko) 2003-03-25 2004-03-24 반도체 장치의 제조 방법

Country Status (4)

Country Link
US (1) US20040198068A1 (ko)
JP (1) JP2004296476A (ko)
KR (1) KR20040084737A (ko)
CN (1) CN1532896A (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8334204B2 (en) 2008-07-24 2012-12-18 Tokyo Electron Limited Semiconductor device and manufacturing method therefor

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913796B2 (en) * 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
JP2006179515A (ja) * 2004-12-20 2006-07-06 Oki Electric Ind Co Ltd 半導体素子の製造方法、及びエッチング方法
CN101310370A (zh) * 2006-01-13 2008-11-19 东京毅力科创株式会社 多孔质膜的成膜方法和计算机可读的记录介质
JP5175059B2 (ja) * 2007-03-07 2013-04-03 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
JP4778018B2 (ja) * 2008-04-23 2011-09-21 富士通セミコンダクター株式会社 絶縁膜形成方法
JP5654794B2 (ja) * 2010-07-15 2015-01-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9214335B2 (en) 2014-04-24 2015-12-15 International Business Machines Corporation Surface plasma modification of porous thin-films to optimize pore filling
CN105633006B (zh) * 2014-10-30 2019-01-22 中芯国际集成电路制造(上海)有限公司 互连结构及其制作方法

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4723978A (en) * 1985-10-31 1988-02-09 International Business Machines Corporation Method for a plasma-treated polysiloxane coating
US5270259A (en) * 1988-06-21 1993-12-14 Hitachi, Ltd. Method for fabricating an insulating film from a silicone resin using O.sub.
US5472913A (en) * 1994-08-05 1995-12-05 Texas Instruments Incorporated Method of fabricating porous dielectric material with a passivation layer for electronics applications
US6528426B1 (en) * 1998-10-16 2003-03-04 Texas Instruments Incorporated Integrated circuit interconnect and method
JP3888794B2 (ja) * 1999-01-27 2007-03-07 松下電器産業株式会社 多孔質膜の形成方法、配線構造体及びその形成方法
US6759098B2 (en) * 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8334204B2 (en) 2008-07-24 2012-12-18 Tokyo Electron Limited Semiconductor device and manufacturing method therefor

Also Published As

Publication number Publication date
JP2004296476A (ja) 2004-10-21
US20040198068A1 (en) 2004-10-07
CN1532896A (zh) 2004-09-29

Similar Documents

Publication Publication Date Title
JP3418458B2 (ja) 半導体装置の製造方法
KR100372216B1 (ko) O.5 및 0.5 미크론 이하의 ulsi 회로용 인터레벨 유전체소자로서의수소실세큐옥산계유동가능한산화물
US6147009A (en) Hydrogenated oxidized silicon carbon material
US8017522B2 (en) Mechanically robust metal/low-κ interconnects
JP4090740B2 (ja) 集積回路の作製方法および集積回路
TWI425569B (zh) 多孔低k值介電薄膜之紫外光輔助孔洞密封
JP3886779B2 (ja) 絶縁膜形成用材料及び絶縁膜の形成方法
JPH08250490A (ja) 改質水素シルセスキオキサンsog塗布
US20050191847A1 (en) Method for manufacturing semiconductor device
US7830012B2 (en) Material for forming exposure light-blocking film, multilayer interconnection structure and manufacturing method thereof, and semiconductor device
US20040195659A1 (en) Hydrogenated oxidized silicon carbon material
KR20040084737A (ko) 반도체 장치의 제조 방법
US20100301495A1 (en) Semiconductor device and method for manufacturing same
KR20040012833A (ko) 다층 하드 마스크 및 유전체 재료와, 그것의 제조 방법
JP3486155B2 (ja) 層間絶縁膜の形成方法
CN114424324A (zh) 硅化合物和使用其沉积膜的方法
US6998325B2 (en) Method for manufacturing semiconductor device
JP4657859B2 (ja) 多孔質薄膜の製造方法、多孔質薄膜およびこれを用いた半導体装置
US20100093174A1 (en) Method of manufacturing low-k dielectric film, and formation of air-gap using the low-k dielectric film
KR19980071624A (ko) 실리카 박막 형성용 조성물 및 이의 형성 방법
JP4408816B2 (ja) 半導体装置の製造方法
JP3485425B2 (ja) 低誘電率絶縁膜の形成方法及びこの膜を用いた半導体装置
JP4493278B2 (ja) 多孔性樹脂絶縁膜、電子装置及びそれらの製造方法
JP4459096B2 (ja) 半導体装置の製造方法
JP2000021872A (ja) 低誘電率樹脂組成物、低誘電率絶縁膜形成方法および半導体装置の製造方法

Legal Events

Date Code Title Description
N231 Notification of change of applicant
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid