KR20040055694A - 리소그래피 투영장치의 구성요소의 표면을 세정하는 방법,리소그래피 투영장치, 디바이스 제조방법, 및 세정 시스템 - Google Patents

리소그래피 투영장치의 구성요소의 표면을 세정하는 방법,리소그래피 투영장치, 디바이스 제조방법, 및 세정 시스템 Download PDF

Info

Publication number
KR20040055694A
KR20040055694A KR1020030093948A KR20030093948A KR20040055694A KR 20040055694 A KR20040055694 A KR 20040055694A KR 1020030093948 A KR1020030093948 A KR 1020030093948A KR 20030093948 A KR20030093948 A KR 20030093948A KR 20040055694 A KR20040055694 A KR 20040055694A
Authority
KR
South Korea
Prior art keywords
electric field
cleaning
radiation
contaminant
ions
Prior art date
Application number
KR1020030093948A
Other languages
English (en)
Other versions
KR100737759B1 (ko
Inventor
레비누스 피에터 바커
랄프 쿠르트
바스티안 마티아스 메르텐스
마르쿠스 바이스
요한 트렌클러
볼프강 징거
Original Assignee
에이에스엠엘 네델란즈 비.브이.
칼 짜이스 에스엠테 아게
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from DE2002161875 external-priority patent/DE10261875A1/de
Application filed by 에이에스엠엘 네델란즈 비.브이., 칼 짜이스 에스엠테 아게 filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20040055694A publication Critical patent/KR20040055694A/ko
Application granted granted Critical
Publication of KR100737759B1 publication Critical patent/KR100737759B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B6/00Cleaning by electrostatic means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70925Cleaning, i.e. actively freeing apparatus from pollutants, e.g. using plasma cleaning

Landscapes

  • Physics & Mathematics (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Environmental & Geological Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Optics & Photonics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

세정시스템, 리소그래피투영장치의 구성요소의 표면으로부터 오염물을 제거하는 방법, 리소그래피투영장치, 디바이스제조방법 및 리소그래피투영장치를 제공한다.
표면 근처에 세정입자를 제공하기 위한 세정입자제공기를 포함하여 이루어지고, 세정입자제공기가 전기장(107)를 생성하기 위한 전기장생성기(106,V)를 포함하여 이루어지는 것을 특징으로 하는, 리소그래피투영장치(1)의 구성요소(101)의 표면(104)의 적어도 일부로부터 오염물(105)을 제거하기 위한 세정시스템(100)이 제공된다. 또한 리소그래피투영장치(1)의 구성요소(101)의 표면(104)의 적어도 일부로부터 오염물(105)을 제거하기 위한 방법을 제공한다. 상기 방법은 리소그래피투영장치의 적어도 일부에 전기장을 생성하는 단계; 상기 전기장(107)에 의하여 오염물 근처에 세정입자를 제공하는 단계; 및 상기 세정입자와 상기 오염물간의 상호작용을 통하여 상기 오염물을 제거하는 단계를 포함하여 이루어진다. 따라서, 오염물(105)은 표면(104)으로부터 제거된다.

Description

리소그래피 투영장치의 구성요소의 표면을 세정하는 방법, 리소그래피 투영장치, 디바이스 제조방법, 및 세정 시스템{METHOD FOR CLEANING A SURFACE OF A COMPONENT OF A LITHOGRAPHIC PROJECTION APPARATUS, LITHOGRAPHIC PROJECTION APPARATUS, DEVICE MANUFACTURING METHOD AND CLEANING SYSTEM}
본 발명은 리소그래피 투영장치의 구성요소의 표면으로부터 오염을 제거하는 방법에 관한 것이다. 또한, 본 발명은 리소그래피 투영장치, 디바이스 제조방법 및 세정 시스템에 관한 것이다.
"패터닝 수단(patterning means)"이라는 용어는 기판의 타겟부에 생성될 패턴에 대응하는, 패터닝된 단면을 입사하는 방사선 빔에 부여하는데 사용될 수 있는 수단을 의미하는 것으로서 폭넓게 해석되어야 하며, 본 명세서에서 "광 밸브(light valve)"라는 용어로도 사용된다. 일반적으로, 상기 패턴은 집적회로 또는 기타 디바이스와 같이 타겟부에 생성되는 디바이스 내의 특정기능층에 대응할 것이다(이하 참조). 이러한 패터닝 수단의 예로는 다음과 같은 것들이 포함된다.
- 마스크. 마스크의 개념은 리소그래피 분야에서 잘 알려져 있고, 상기 마스크는 다양한 하이브리드 마스크 형태뿐만 아니라 바이너리형(binary), 교번 위상-시프트형(alternating phase-shift) 및 감쇠 위상-시프트형(attenuated phase-shift)과 같은 마스크 형태를 포함한다. 방사선 빔내에 이러한 마스크가 놓이면,상기 마스크의 패턴에 따라 상기 마스크에 부딪치는 방사선의 선택적인 투과(투과형 마스크의 경우) 또는 반사(반사형 마스크의 경우)가 이루어진다. 마스크의 경우, 지지 구조체는 일반적으로 마스크 테이블일 것이고, 입사하는 방사선 빔내의 소정 위치에 마스크가 유지될 수 있고, 필요한 경우에는 상기 마스크가 상기 빔에 대해 이동될 수 있도록 한다.
- 프로그램가능한 거울 어레이. 이러한 디바이스의 일례로, 점탄성 제어층 (viscoelastic control layer)과 반사면을 구비한 매트릭스-어드레서블 표면이 있다. 이러한 장치의 기본원리는, (예를 들어) 반사면의 어드레스된 영역에서는 입사광이 회절광으로 반사되는 한편, 어드레스되지 않은 영역에서는 입사광이 비회절광으로 반사되는 것이다. 적절한 필터를 이용하면, 상기 반사된 빔으로부터 상기 비회절광을 필터링하여 회절광만 남게 할 수 있다. 이러한 방식으로, 상기 빔은 상기 매트릭스-어드레서블 표면의 어드레싱 패턴에 따라 패터닝된다. 프로그램가능한 거울 어레이의 대안적인 실시예는 작은 거울의 매트릭스 배치를 이용하는 것인데, 상기 각각의 작은 거울은 적당하게 국부화된 전기장을 가하거나, 또는 압전 작동 수단(piezoelectric actuation means)을 이용함으로써 축에 대하여 개별적으로 기울어질 수 있다. 또한, 상기 거울은 매트릭스-어드레서블이고, 이러한 어드레스된 거울은 입사하는 방사선 빔을 어드레스되지 않은 거울에 대해 상이한 방향으로 반사할 것이다. 이러한 방식으로, 상기 반사된 빔은 매트릭스-어드레서블 거울의 어드레싱 패턴에 따라 패터닝된다. 요구되는 매트릭스 어드레싱은 적당한 전자적 수단을 이용하여 수행될 수 있다. 상술된 두가지 상황 모두에서, 패터닝 수단은 하나이상의 프로그램가능한 거울 어레이로 이루어질 수 있다. 이러한 거울 어레이에 관한 보다 상세한 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 미국특허 US 제5,296,891호 및 US 제5,523,193호, 그리고 PCT 특허출원 WO 제98/38597호 및 WO 제98/33096호로부터 얻을 수 있다. 프로그램가능한 거울 어레이의 경우, 상기 지지구조체는 예를 들어, 필요에 따라 고정될 수 있거나 이동될 수 있는 프레임 또는 테이블로 구현될 수 있다.
- 프로그램가능한 LCD 어레이. 이러한 구조의 일례는 본 명세서에서 참고자료로 채택된 미국특허 US 제5,229,872호에 개시되어 있다. 상술된 바와 같이, 이러한 경우에서의 지지 구조체는 예를 들어, 필요에 따라 고정될 수 있거나 이동될 수 있는 프레임 또는 테이블로 구현될 수 있다.
설명을 간단히 하기 위하여, 본 명세서의 나머지 부분 중 어느 곳에서는 특히 그 자체가 마스크 및 마스크 테이블을 포함하는 실시예로 지칭될 수 있다. 그러나, 이러한 실시예에 논의된 일반적인 원리는 상술된 바와 같이 패터닝 수단의 보다 광범위한 상황에서 이해되어야 한다.
리소그래피 투영장치는 예를 들어, 집적회로(ICs)의 제조에 이용될 수 있다. 이러한 경우, 패터닝 수단은 집적회로의 개별층에 대응하는 회로패턴을 생성할 수 있고, 이 패턴은 방사선감응재(레지스트)층으로 코팅된 기판(실리콘 웨이퍼)상의 타겟부(예를 들어, 하나 이상의 다이로 구성)로 묘화될 수 있다. 일반적으로, 단일 웨이퍼는 투영시스템에 의해 한번에 하나씩 연속적으로 조사되는 인접한 타겟부들의 전체적인 네트워크를 포함할 것이다. 마스크 테이블 상의 마스크에 의해 패터닝되는 현행 장치는, 두가지 상이한 형태의 기계로 구분될 수 있다. 일 형태의 리소그래피 투영장치에서, 타겟부상에 전체 마스크 패턴을 한번에 노광함으로써 각각의 타겟부가 조사되는데, 이러한 장치를 통상 웨이퍼 스테퍼(wafer stepper) 또는 스텝-앤드-리피트 장치(step-and-repeat apparatus)라 칭한다. 통상 스텝-앤드-스캔 장치(step-and-scan apparatus)라 불리는 대안적인 장치에서, 투영빔 하에서 소정의 기준방향("스캐닝" 방향)으로 마스크 패턴을 점진적으로 스캐닝하면서, 상기 방향과 같은 방향으로 또는 반대 방향으로 기판 테이블을 동기적으로 스캐닝함으로써 각각의 타겟부가 조사된다. 일반적으로, 투영시스템이 배율인자(magnification factor)(M)(일반적으로<1)를 가지므로, 기판 테이블이 스캐닝되는 속도(V)는 마스크 테이블이 스캐닝되는 속도의 인자(M)배가 된다. 여기에 서술된 리소그래피 디바이스에 관련된 보다 상세한 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제6,046,792호로부터 얻을 수 있다.
리소그래피 투영장치를 이용하는 제조공정에서, (예를 들어, 마스크의) 패턴은 적어도 부분적으로 방사선감응재(레지스트)층으로 도포된 기판상에 묘화된다. 상기 묘화단계(imaging step)에 앞서, 기판은 전처리(priming), 레지스트 코팅, 소프트 베이크와 같은 다양한 절차를 거칠 수 있다. 노광 후에, 기판은 노광후 베이크(PEB), 현상, 하드 베이크 및 묘화된 피처(imaged feature)의 측정/검사와 같은 다른 절차를 거칠 수 있다. 이러한 일련의 절차는, 예를 들어 IC와 같은 디바이스의 개별층을 패터닝하는 기초로서 이용된다. 그런 다음, 이렇게 패터닝된 층은 에칭, 이온주입(도핑), 금속화, 산화, 화학-기계적 폴리싱 등과 같은 개별층을 마무리하기 위한 다양한 모든 공정을 거친다. 여러 층이 요구된다면, 새로운 층마다 전체 공정 또는 그 변형 공정이 반복되어져야만 할 것이다. 종국에는, 디바이스의 배열이 기판(웨이퍼)상에 존재하게 될 것이다. 이들 디바이스가 다이싱 또는 소잉 등의 기술에 의해 서로 이산 후에, 각각의 디바이스는 캐리어에 탑재되고, 핀에 접속될 수 있다. 이러한 공정에 관한 추가 정보는 예를 들어, 본 명세서에서 참고자료로 채택된 "Microchip Fabrication : A Practical Guide to Semiconductor Processing" (3판, Peter van Zant 저, McGraw Hill 출판사, 1997, ISBN 0-07-067250-4)으로부터 얻을 수 있다.
설명을 간단히 하기 위해, 투영시스템은 이후에 "렌즈"라고 언급될 수 있다. 하지만, 이 용어는 예를 들어, 굴절 광학기, 반사 광학기 및 카타디옵트릭 시스템을 포함한 다양한 형태의 투영시스템을 내포하는 것으로서 폭넓게 해석되어야 한다. 또한, 방사시스템은 방사선 투영빔의 지향, 성형 또는 제어를 하기 위한 설계유형 중의 어느 하나에 따라 동작하는 구성요소를 포함할 수 있고, 이후의 설명에서는 이들 구성요소에 대하여도 집합적으로 또는 개별적으로 "렌즈"라고 언급될 수 있다. 또한, 상기 리소그래피 장치는 둘 이상의 기판 테이블 (및/또는 둘 이상의 마스크 테이블)을 구비하는 형태가 될 수 있다. 이러한 "다중 스테이지" 디바이스에서, 추가적인 테이블들이 병행하여 사용될 수 있거나, 하나 이상의 다른 테이블들이 노광을 위하여 사용되고 있는 동안에 하나 이상의 테이블에서 예비단계가 수행될 수 있다. 이중 스테이지 리소그래피 장치는 예를 들어, 본 명세서에서 참고자료로 채택된 US 제5,969,441호 및 WO 제98/40791호에 개시되어 있다.
비록 본 명세서에서는 본 발명에 따른 장치를 사용함에 있어 집적회로의 제조에 대해서만 특정하여 언급하였으나, 이러한 장치가 여러 다른 응용례를 가지고 있음은 명백히 이해될 것이다. 예를 들어, 상기 장치는 집적 광학 시스템, 자기영역 메모리용 유도 및 검출 패턴, 액정표시패널, 박막자기헤드 등의 제조에도 이용될 수 있다. 당업자라면, 전술한 기타 응용분야들을 고려할 때, 본 명세서에서 사용된 "레티클", "웨이퍼" 또는 "다이"와 같은 용어가 "마스크", "기판" 및 "타겟부" 등과 같은 좀 더 일반적인 용어로 각각 대체되어 있음을 이해할 것이다.
본 명세서에서, "방사선" 및 "빔"이라는 용어는 이온빔 또는 전자빔 등의 입자빔뿐만 아니라 (예를 들어 365, 248, 193, 157 또는 126㎚의 파장을 가지는) 자외선(UV) 방사선 및 극자외선(EUV) 방사선(예를 들어, 5 ~ 20nm 범위의 파장을 가짐)뿐만 아니라 이온빔이나 전자빔과 같은 입자빔을 포함하는 모든 형태의 전자기 방사선을 내포하는 것으로 사용된다.
일반적으로, 비록 장치의 대부분이 진공에서 동작되는 경우에도 장치내에 항상 존재하는 탄화수소 분자 때문에, 리소그래피 투영장치의 구성요소의 표면은 사용되는 동안 오염된다. 일반적으로, EUV 리소그래피 투영장치가 폐쇄된 진공시스템이라는 것을 유념해야 한다. 헥사메틸 디실라잔(hexa-methyl disilazane) 또는 기타 재료(예를 들어, 실리콘 산화물과 같은 재료를 포함)의 분열(cracking)을 유도하는 방사선으로부터의 반응물(reactant)과 같은 기타 재료에 의해 오염이 유발될 수도 있지만, 그것들에 제한되지 않는다. 특히 EUV를 사용하는 장치에서, 탄화수소 분자의 분열을 유도하는 방사선으로 인한 탄소 함유 재료에 의해 구성요소들이 오염될 수 있다.
이러한 오염이 광학 구성요소의 광학특성에 영향을 미치기 때문에, 특히 거울과 같은 리소그래피 장치내의 광학구성요소의 오염이 장치의 성능에 악영향을 가질 수 있다. 광학 구성요소의 오염은, 예를 들어 반사도 및 투명도(transparency)에서의 손실을 유발하고, 파면 에러를 일으킨다. 이 결과로 광학기의 수명이 짧아진다. 광학 구성요소의 오염은, 특히 EUV 방사선을 사용할 때 문제가 되는데, 그 이유는 예를 들어 탄소의 오염을 유도하는 방사선이 조사영역(즉, 광학 구성요소의 근처)의 큰 부분에 대해 유발되기 때문이다.
기술분야에서, 예를 들어 장치 표면상의 탄소 함유 재료의 성장을 억제함으로써 오염의 정도를 줄이는 경감 기술들이 공지되었다.
그러나, 경감이 충분하지 않다면, 각각의 구성요소의 세정이 요구된다. 기술분야에서, 예를 들어 EUV 방사선과 조합된 산소나 수소 등의 에칭가스를 사용하여 탄소 함유 재료를 제거하는 것과 같은, 오염된 표면을 세정하는 방법이 공지되었다. 유럽특허출원 EP 1 220 038호로부터 광학 구성요소를 세정하는 방법이 공지되었고, 여기서 광학요소는 마이크로파 및/또는 적외선 방사선으로 조사된다. 그러나, 이러한 세정 방법은 에칭 에이전트의 비교적 높은 농도(통상, 탄화수소의 부분압력보다 높은 5 내지 6 정도의 등급)를 요구한다. 또한, 국부 에칭 속도가 제어될 수 없으므로, 기타의 것보다 요소당 몇몇 표면상에서 보다 높은 에칭 속도가 유발될 수 있어, 구성요소의 오염패턴이 균일하지 않게 된다. 구성요소가 거울이라면, 이는 예를 들어 투영된 방사선에서의 위상 시프트 에러를 초래할 수 있다.
제어되지 않은 에칭의 추가적인 문제점은, 동작시 표면의 각각의 부분이 오염 재료에 대해 상이한 정도로 노출되는 것이다. 그 결과, 구성요소상의 오염의 성장이 각 구성요소에 대해, 심지어 단일 구성요소의 표면 전체에 걸쳐 변화한다. 따라서, 모든 오염을 제거하고, 표면의 또다른 표면상의 구성요소의 표면을 손상시키는 동안, 제어되지 않은 에칭은 표면의 소정 부분상의 오염의 일부분만을 제거하게 될 수 있다.
본 발명의 목적은, 리소그래피 투영장치의 구성요소 표면으로부터 오염을 제거하는 개선된 세정 시스템, 및 특히 종래의 세정 시스템보다 오염제거의 보다 양호한 제어를 제공하는 세정 시스템을 제공하는 것이다.
도 1은 본 발명의 일 실시예에 따른 리소그래피 투영장치를 개략적으로 도시한 도면,
도 2는 본 발명에 따른 리소그래피 투영장치의 EUV 조명 시스템 및 투영광학기의 측면도,
도 3은 본 발명에 따른 세정 시스템의 일 실시예가 제공된 광학 구성요소의 일 실시예를 개략적으로 도시한 도면,
도 4는 본 발명에 따른 세정 시스템의 또다른 실시예가 제공된 광학 구성요소의 일 실시예를 개략적으로 도시한 도면,
도 5는 여러 이온 에너지에 대한 이온원자의 질량의 함수로서, 이온당 흑연 원자들을 야기하는 스퍼터링의 그래프,
도 6은 Cl+, F+및 Br+를 사용하여 에칭하는 반응이온에 대한 이온에너지의 함수로서, 이온당 실리콘 원자들을 야기하는 화학적 스퍼터링의 그래프,
도 7은 Ne+및 Ar+를 사용하는 Si, Mo, 및 흑연의 물리적인 스퍼터링에 대한, 그리고 O+를 사용하여 흑연 및 Mo를 에칭하는 반응이온에 대한 이온 에너지의 함수로서, 이온당 원자들을 야기하는 화학적 스퍼터링의 그래프이다.
따라서, 본 발명은 청구항 제1항에 따른 세정 시스템을 제공한다.
전기장 생성원은 전기장을 생성할 수 있고, 전기장에 의해 오염근처에 세정 입자를 제공할 수 있다. 상기 세정 입자가 오염재료과 상호작용하기 때문에, 오염이 제거된다. 따라서, 오염의 제거는 전기장의 특성에 의해 제어된다. 그것에 의해, 제어가능한 오염의 제거가 얻어진다. 오염의 적어도 일부분을 제거하기 위해, 세정 입자의 제공은, 예를 들어 물리적인 스퍼터링(supttering), 전기장 세정 입자를 오염에 지향시키는 것, 반응입자나 자유 라디칼(예를 들어, 반응 스퍼터링)과 같은 전기장 반응입자를 사용하여 생성되도록 하는 것 및 표면 근처에 선택적으로 반응입자를 가져오는 것 등과 같이 충분한 에너지로 전기장 충전 캐리어에 제공되는 하나이상의 것을 포함될 수 있지만, 그것들에 제한되지 않는다. 그러나, 세정 입자의 제공은 이들 특정 방법에 제한되지 않지만, 표면상의 오염과 상호작용할 수 있는 입자를 제공하는 어떠한 방법도 포함할 수 있고, 상기 상호작용에 의해 표면으로부터 오염이 제거된다.
또한, 본 발명의 일 실시예에 따른 세정 시스템이 구성요소마다 제공될 수 있고, 그에 따라 적절한 방식으로 특정 구성요소에 대해 각각의 구성요소의 오염이 세정될 수 있다.
본 발명의 일 실시예에 따른 세정 시스템을 구비함으로써, 전기장에 추가하여, 예를 들어 사용되는 세정 입자형태 그리고 표면 근처에 그것들을 제공하는 특정 방식에 의해서와 같은 기타 방식으로 세정 전체에 걸친 제어가 얻어질 수 있다.
또한, 본 발명에 따른 세정시스템이 (반)연속적으로 동작될 수 있고, 따라서 표면의 실질적인 오염을 방지한다.
또한, 본 발명은 청구항 제19항에 따른 방법을 제공한다. 이러한 방법에서는, 제어가능한 방식으로 리소그래피 투영장치의 구성요소의 표면으로부터 오염이 제거된다.
또한, 본 발명은 라미네이트 또는 기판의 표면을 세정하는 방법을 제공하고, 여기서 상기 기판상에 존재하는 오염물 클러스터들에는 이들이 제거될 때까지 이온 및/또는 전자 방사선에 의한 에너지를 제공한다.
본 발명의 추가형태에 따르면, 청구항 제34항에 따른 디바이스 제조방법이 제공된다. 이러한 방법에서는, 제어가능한 방식으로 리소그래피 투영장치의 구성요소의 표면으로 오염이 제거되는 한편, 디바이스의 제조의 중단은 단지 짧게 또는 조금도 발생하지 않는다.
또한, 본 발명은 청구항 제35항에 따른 리소그래피 투영장치를 제공한다. 이러한 장치에서는, 제어가능한 방식으로 구성요소의 표면으로부터 오염이 제거될 수 있다. 또한, 정상동작의 중단이 단지 짧은 시간동안 또는 조금도 발생하지 않으면서, 오염이 제거될 수 있다.
본 발명의 특정 실시예가 종속항에서 설명된다. 더욱 상세하게는, 단지 예로서의 도면을 참조하여, 본 발명의 형태들 및 실시예들이 설명될 것이다.
도 1은 본 발명에 따른 리소그래피 투영장치(1)의 일 실시예를 개략적으로 도시한다. 통상적으로, 상기 장치(1)는,
- 방사선(예를 들어, UV 또는 EUV 방사선)의 투영빔(PB)을 제공하기 위한 방사시스템(Ex, IL) (이러한 특정한 경우, 상기 방사시스템은 또한 방사원(LA)을 포함함);
- 마스크(MA)(예를 들어, 레티클)를 유지하는 마스크 홀더가 제공되고, 아이템 PL에 대하여 마스크를 정확히 위치시키는 제1위치결정수단(PM)에 연결된 제1대물테이블(마스크 테이블)(MT);
- 기판(W)(예를 들어, 레지스트-코팅된 실리콘 웨이퍼)을 유지하는 기판 홀더가 제공되고, 아이템 PL에 대하여 기판을 정확히 위치시키는 제2위치결정수단(PW)에 연결된 제2대물테이블(기판 테이블)(WT); 및
- 기판(W)의 타겟부(C)(예를 들어, 하나 이상의 다이로 구성)상으로마스크(MA)의 조사부를 묘화하는 투영시스템("렌즈")(PL)(예를 들어, 거울 그룹)을 포함하여 이루어진다.
도시된 바와 같이, 상기 장치는 반사형이다(즉, 반사형 마스크를 구비한다). 그러나, 일반적으로, 예를 들어 (투과형 마스크를 구비한) 투과형일 수도 있다. 대안적으로, 상기 장치는 상술된 바와 같은 형태의 프로그램가능한 거울 어레이 등의 또다른 종류의 패터닝 수단을 사용할 수 있다.
방사원(LA)(예를 들어, 수은 램프, 엑시머 레이저, 스토리지 링이나 싱크로트론에서 전자빔의 경로 주위에 제공된 언듈레이터나 위글러, 레이저-생성 플라즈마원 또는 기타방식의 것)은 방사선 빔을 생성한다. 상기 빔은 곧바로 또는 예를 들어, 빔 익스팬더(beam expander)(Ex)와 같은 컨디셔닝 수단을 가로지른 후 조명시스템(일루미네이터)(IL)으로 들어간다. 상기 일루미네이터(IL)는 빔내의 세기 분포의 외측 및/또는 내측 반경 크기(통상, 각각 외측-σ 및 내측-σ라고 함)를 설정하는 조정수단(AM)을 포함할 수 있다. 또한, 그것은 일반적으로 인티그레이터(IN) 및 콘덴서(CO)와 같은 다양한 다른 구성요소를 포함할 것이다. 이러한 방식으로, 마스크(MA)상에 부딪치는 상기 빔(PB)은 그 단면에 소정의 균일성과 세기 분포를 갖게 된다.
(예를 들어, 간혹 방사원(LA)이 수은램프인 경우처럼) 상기 방사원(LA)이 리소그래피 투영장치의 하우징내에 놓일 수 있지만, 도 1과 관련하여 상기 방사원이 리소그래피 투영장치와 멀리 떨어져서 상기 방사원이 생성한 방사선 빔이 (예를 들어, 적절한 지향 거울에 의해) 상기 장치 내부로 들어오게 할 수도 있다. 후자의시나리오는 방사원(LA)이 엑시머 레이저인 경우이다. 본 발명과 청구범위는 이러한 시나리오 모두를 포함한다.
이어서, 상기 빔(PB)은 마스크 테이블(MT)상에 유지되는 마스크(MA)를 거친다. 상기 마스크(MA)에 의해 선택적으로 반사된 빔(PB)은 투영시스템(PL)를 통과하여 기판(W)의 타겟부(C)상에 상기 빔(PB)을 포커싱한다. 제2위치결정수단(PW)(및 간섭계측정수단(IF))에 의해, 기판 테이블(WT)은 예를 들어, 빔(PB)의 경로내에 상이한 타겟부(C)가 위치되도록 정확하게 이동될 수 있다. 이와 유사하게, 제1위치결정수단(PM)은 예를 들어, 마스크 라이브러리로부터 마스크(MA)를 기계적으로 회수한 후 또는 스캐닝하는 동안 빔(PB)의 경로에 대하여 마스크(MA)를 정확히 위치시키는데 이용될 수 있다. 일반적으로, 대물테이블(MT, WT)의 이동은, 도 1에 명확히 도시되지는 않았지만, 장행정 모듈(long-stroke module)(대략 위치결정) 및 단행정 모듈(short-stroke module)(미세 위치결정)에 의해 행해질 것이다. 그러나, (스텝-앤드-스캔 장치와는 대조적으로) 웨이퍼 스테퍼의 경우, 마스크 테이블(MT)은 단행정 액추에이터에만 연결될 수 있거나, 또는 고정될 수 있다. 마스크(MA) 및 기판(W)은 마스크 정렬마크(M1, M2) 및 기판 정렬마크(P1, P2)를 사용하여 정렬될 수 있다.
도시된 장치는 다음의 두가지 상이한 모드로 사용될 수 있다.
1. 스텝 모드에서, 마스크 테이블(MT)은 기본적으로 정지상태로 유지되고, 전체 마스크 이미지는 한번에(즉, 단일 "섬광"으로) 타겟부(C)상으로 투영된다. 그런 다음, 기판 테이블(WT)이 x 및/또는 y 방향으로 시프트되어, 상이한 타겟부(C)가 빔(PB)에 의해 조사될 수 있다;
2. 스캔 모드에서, 소정의 타겟부(C)가 단일 "섬광"으로 노광되지 않는 것을 제외하고는, 기본적으로 동일한 시나리오가 적용된다. 대신에, 마스크 테이블(MT)이ν의 속도로 소정 방향(소위 "스캐닝 방향", 예를 들어 y 방향)으로 이동가능해서, 투영빔(PB)이 마스크 이미지 전체를 스캐닝하게 되고, 이와 함께 기판 테이블(WT)이V=Mv의 속도로 동일한 방향 또는 반대 방향으로 동시에 이동되며, 여기서M은 렌즈(PL)의 배율(통상,M=1/4 또는M=1/5)이다. 이러한 방식으로, 해상도를 떨어뜨리지 않고도 비교적 넓은 타겟부(C)가 노광될 수 있다.
도 2는 도 1의 리소그래피 투영장치(1)의 실시예에서 사용될 수 있는 투영시스템(PL) 및 방사시스템(2)을 나타낸다. 방사시스템(2)은 조명 광학기 유닛(4)을 포함한다. 방사시스템은 소스-컬렉터 모듈 또는 방사유닛(3)을 포함할 수도 있다. 방사유닛(3)에는 방전 플라즈마에 의해 형성될 수 있는 방사원(LA)이 제공된다. 방사원(LA)은, 전자기 스펙트럼의 EUV 영역내의 방사선을 방출하도록 매우 뜨거운 플라즈마가 형성될 수 있는 Xe 가스나 Li 증기와 같은 가스나 증기를 사용할 수 있다. 전기적 방전의 부분적으로 이온화된 플라즈마가 광학축(O)상에 쇠약해지게 함으로써, 매우 뜨거운 플라즈마가 생성된다. 방사선의 효과적인 생성을 위해, Xe 가스나 Li 증기 또는 모든 적절한 기타 가스나 증기의 0.1mbar의 부분적인 압력이 요구될 수 있다. 방사원(LA)로부터 방출된 방사선은 소스챔버(7)로부터 가스 배리어 구조체 또는 "포일 트랩"(9)를 통해 콜렉터 챔버(8)로 진행된다. 가스 배리어 구조체는, 예를 들어 본 명세서에 참고자료로 채택되고 유럽특허출원 EP-A-1 233 468호및 EP-A-1 057 079호에 상세하게 개시된 채널 구조체를 포함한다.
콜렉터 챔버(8)는 그레이징 입사 콜렉터로 형성될 수 있는 방사선 콜렉터(10)를 포함한다. 콜렉터(10)에 의하여 통과된 방사선은 콜렉터 챔버(8)내의 어퍼처에서 2차 소스 지점(12)내에 포커스되도록 격자 스펙트럼 필터(11)로 반사된다. 챔버(8)로부터, 투영빔(16)은 조명 광학기 유닛(4)내에서 수직 입사 반사기(13, 14)를 거쳐 레티클 또는 마스크테이블(MT)상에 위치된 레티클 또는 마스크상으로 반사된다. 투영시스템(PL)에서 반사요소(18, 19)를 거쳐 웨이퍼스테이지 또는 기판테이블(WT)상으로 묘화되는 패터닝된 빔(17)이 형성된다. 일반적으로, 도시된 것보다 많은 요소들이 조명광학기유닛(4) 및 투영시스템(PL)에 존재할 수 있다.
도 2에 도시된 바와 같이, 본 발명의 일 실시예에 따른 리소그래피 투영장치(1)의 실시예는 반사기(13, 14)와 반사요소(18, 19) 주변에 있는 방사선시스템(2) 및 투영시스템(PL)에 위치된 본 발명에 따른 1 이상의 세정 시스템(100)을 가진다. 하지만, 장치의 여타의 부분들에도 본 발명에 따른 세정 시스템이 제공될 수 있다. 예를 들어, 레티클 및 리소그래피 투영장치의 1 이상의 센서에 세정 시스템이 제공될 수 있다.
도 3에는, 세정 시스템(100)의 제1실시예가 보다 상세히 도시되어 있다. 도 1 및 도 2에서, 세정 시스템(100)은 상기 장치(1)의 내부에 위치된다. 세정 시스템은 상기 장치(1) 외부의 여하한의 편리한 수단을 이용하여 특정 구현을 위해 적합한 여하한의 방식으로 제어될 수 있다.
하지만, 세정 시스템의 제어에 대한 적절한 수단은 상기 장치의 내부에 마찬가지로 제공될 수 있다. 예를 들어, 세정 시스템(100)은, 예를 들어 표면으로부터 방출된 2차전자들을 측정함으로써, 상기 장치(1)내의 1 이상의 구성요소의 1 이상의 표면의 오염의 레벨을 측정하는 측정 디바이스에 연통하여 연결될 수 있다. 따라서, 측정디바이스는 표면이 어느 정도 오염된 경우, 본 발명에 따른 세정 시스템(100)을 활성화하는 신호를 출력할 수 있다.
도 3 및 도 4에는 본 발명의 일 실시예에 따른 세정 시스템(100)의 실시예들 및 광학요소가 도시되어 있다. 처리될 광학요소는 층으로 된 구조체를 갖는 다층거울(101)이다. 또한, 거울 이외에 비광학구성요소 또는 광학구성요소가 처리될 수 있다. 상기 거울(101)은 거울의 표면(104) 아래에서 각각 굴절률(n1, n2)을 갖는 다수의 교번층(102, 103)으로 이루어진다. 오염 재료(105), 예를 들어 탄소 또는 실리콘(산화물) 함유 재료 또는 물리흡착된(physisorbed) 입자의 층이 거울(101)의 표면(104)상에 증착될 수 있다.
예를 들어, 상기 층(102, 103)은 각각 실리콘(Si) 및 몰리브덴(Mo)으로 구성될 수 있으며, 이 재료들은 EUV 리소그래피장치내의 거울에 특히 적합하다. 다층거울은 캡핑되지 않거나 캡핑된 것을 지칭할 수도 있다. 캡핑되지 않은 다층거울은 여타의 층들과 유사한 표면층을 가지는 한편, 캡핑된 다층거울은 다층에 대한 손상, 예를 들어 산화를 방지하고자 1 이상의 표면층들을 가진다. 표면층 또는 "캡"은 거울내의 여타의 층들과 상이한 재료, 예를 들어, Ru로 구성된 표면층으로 되어 있는 것이 일반적이다. 다층거울이 리소그래피 분야에 일반적으로 알려져 있기 때문에, 간명함을 위해서, 다층거울 및 투영시스템을 더 상세히 설명하지 않는다.
상기 세정 시스템(100)은 이 실시예에서 전기장발생기를 포함하는 세정 입자제공기를 가진다. 이 예에서, 전기장 발생기는 전극(106), 전압공급부(V) 및 거울 표면(104)으로 이루어져 있다. 상기 표면(104)은 전압원(V)의 음의 콘택(V-)에 전기적으로 접속되어 있다. 전극(106)은 상기 표면(104)을 향하며, 전압원의 양의 콘택(V+)에 접속되어 있다. 전압원(V)은 표면(104)과 전극(106) 사이에 전압차를 인가할 수 있으므로, 도 3 및 도 4에 화살표로 표시된 바와 같이 표면(104)과 전극(106) 사이에 전기장(107)이 생성될 수 있다. 따라서, 거울의 표면(104)이 전기장내에 위치된다.
전기장(107)은 오염층(105) 주변에 세정 입자들을 제공하는 데, 이 세정 입자들은 오염부와 상호작용하고, 그 작용을 통하여 오염부를 제거시킨다. 세정 입자의 제공과 표면과 세정 입자와의 상호작용은, 예를 들어 플라즈마 에칭, 반응성 이온에칭 또는 이온 밀링(milling)과 같은 건식 에칭을 포함할 수 있다. 건식 에칭에 관한 또 다른 정보는, 예를 들어, "Microchip Fabrication: A Practical Guide to Semiconductor Processing(제3판, Peter van Zant 저, McGraw Hill Publishing Co., 1997년, ISBN 0-07-067250-4, 266-273페이지)"에서 찾아볼 수 있으며, 본 명세서에서 인용참조되고 있다. 하지만, 본 발명은 건식에칭으로 제한되지 아니한다. 특정 구현을 위해 적절한 여타의 형태의 세정 입자들의 제공 및 표면과 세정 입자들의 상호작용이 사용될 수 있으며, 마찬가지로 충분한 운동에너지만을 갖는 전자들을 이용하여 오염부가 제거될 수 있다.
세정 입자들의 제공은 오염부를 향하여 기존의 세정 입자들을 가져오는 것을 포함하지만, 그것으로 제한되지 아니한다. 예를 들어, 전극 주변에 거의 항상 존재하는 자유 전자와 같은 기존의 전하 캐리어가 제공될 수 있는 데, 이는 전기장을 이용하여, 예를 들어, 물리적 스퍼터링을 거쳐 오염부의 적어도 일부를 제거하도록 충분한 에너지를 가지거나, 전기장으로 오염부에 지향될 수 있다. 또한, 자유 전자들은 구성요소 또는 또 다른 전자 소스로부터 발생될 수 있다.
마찬가지로, 세정 입자들의 제공은 (세정 입자를 오염부에 가져가야 할 필요가 있는 경우) 세정 입자를 발생시키거나 생성하는 것을 포함할 수 있다. 예를 들어, 반응성이온 또는 자유 라디칼과 같은 반응성 입자들이 예를 들어, 가압된 가스로 베셀에 연결된 유체 채널을 통하여 전기장내에 이미 존재하거나 가스 공급부에 의하여 전기장으로 공급된 가스로부터 전기장(예를 들어 각각의 스퍼터링)과 함께 생성될 수 있다. 선택적으로, 반응성 입자들이 표면 주변에 놓여질 수 있다. 또한, 전기적으로 중성인 입자들은 전기장을 이용하여 전기적으로 대전될 수 있으며, 에를 들어, 가스입자들은 전기장에 의하여 가속된 전자들과의 충돌을 거쳐 이온화될 수 있다. 그 후, 대전된 입자들은 오염부를 향하여 가속될 수 있고, 운동에너지를 오염부에 전달(예를 들어 물리적 스퍼터링)함으로써, 상호작용할 수 있다. 하지만, 본 발명은 이들 특정한 방식으로 제한되지 아니하며, 표면상의 오염과 상호작용할 수 있는 세정 입자들을 제공하는 여하한의 방식이 사용될 수 있다.
세정 입자들은 특정 구현을 위해 적절한 여하한의 형태일 수 있으며, 예를 들어 전기장에 의하여 가속되는 전하 캐리어일 수 있다. 또한, 세정 입자들은, 예를 들어 전기장에 의하여 오염의 영역으로 가속되거나, 전하 캐리어들이 오염부로터 소정 거리에서 발생된 후, 확산 메카니즘 또는 유체흐름과 같은 적절한 방식으로 오염부로 이동되는 전하 캐리어와 상호작용함으로써 오염부 주위에서 생성된 대전되지 않은 세정 입자일 수도 있다. 세정 입자는, 예를 들어 가스분자 또는 원자와 같은 가스입자들일 수 있고, 전기장에 의하여 가속된 전자들에 의하여 이온화되며, 그 이온화된 입자들은 오염부와 화학적으로 작용한다. 또한, 세정 입자들은, 예를 들어 전기장에 의하여 가속된 전자들에 의하여 해리된(dissociated) 산소로부터 자유 라디칼이 있을 수 있으며, 이 자유 라디칼은 오염부내의 재료들과 반응한다. 또한, 세정 입자들은 여기된 상태의 분자들일 수 있으며, 전기장을 이용하여 또는 상기 전기장을 이용하여 제공된 입자들, 예를 들어 활성 전자(energetic electron)에 의하여 여기된다. 또한, 세정 입자들은 가스 분자들의 이온화에 의하여 생성된 전자들일 수 있다. 하지만, 여타의 형태의 세정 입자들이 전기장에 의하여 제공될 수 있으며, 본 발명은 특정한 형태의 세정 입자들로 제한되지 않는다. 또한, 세정 입자들은 세정 입자들의 종류들의 조합, 예를 들어, 전자와 이온 또는 그 이외의 것의 혼합일 수 있으며, 본 발명은 단일 형태의 세정 입자들로 제한되지 않는다.
마찬가지로, 오염부와 세정 입자들의 상호작용은 특정 구현을 위해 화학적 상호작용, 물리적 상호작용(예를 들어, 운동에너지의 전환), 화학적 및 물리적 상호작용의 조합 또는 그 밖의 것들과 같은 여하한의 형태로 이루어질 수 있다.
본 발명의 일 실시예에 따른 방법에서, 그리고 본 발명의 일 실시예에 따른세정 시스템으로, 전기장을 통하여 세정이 제어될 수 있다. 하지만, 본 발명은 전기장을 통한 제어로 제한되는 것이 아니며, 예를 들어, 세정 입자들의 형태 및 그것들이 표면 주위에 제공되는 특별한 방식과 같은 세정에 걸친 제어를 제공하기 위한 전기장을 추가하여 여타의 실시형태가 사용될 수 있다. 예를 들어, 세정 시스템은 전기장 발생기 장치에 연통하여 연결된 오염관련 조정기 디바이스를 포함할 수 있으며, 제거될 오염부의 1 이상의 특성에 대하여 전기장의 1 이상의 특성을 조정할 수 있다. 예를 들어, 하기에 설명되는 바와 같이, 오염관련 조정기 디바이스는 표면으로부터 제거될 재료들에 관련된 전기장의 힘을 변경시킬 수 있다. 하지만, 오염관련 조정기 디바이스는, 두께 또는 오염에 대한 영향과 같은 오염의 여타의 특성과 관련하여, 예를 들어 거울의 광학특성에 관하여 필드 변조주파수, 전기장 또는 그 이외의 것들의 방향과 같은 전기장의 여타의 특성도 조정할 수 있다.
본 발명의 일 실시예에 따른 세정 시스템은, 제공될 세정 입자들의 1 이상의 특성과 관련된 전기장의 1 이상의 특성을 조정하기 위해서, 전기장 발생기 장치에 연통하여 연결된 세정 입자관련 조정기 디바이스를 포함할 수 있다. 예를 들어, 가스가 전기장내에 존재하는 경우, 전기장의 강도는 상기 가스가 더 이상 이온화되지 않고 이온 대신에 세정 입자들로서 제공되도록 조정될 수 있다. 세정 입자관련 조정기 디바이스는 제공될 세정 입자들의 1 이상의 특성 및/또는 제거될 오염물의 1 이상의 특성과 관련된 전기장을 조정할 수 있는 장치이다. 예를 들어, 하기에 더욱 상세히 설명되는 바와 같이, 이 장치는 오염부내에 존재하는 어떤 재료을 선택적으로 제거하도록 세정 입자들의 형태 또는 그들의 에너지를 변경할 수 있다.
도 3 및 도 4의 실시예에서, 전극(106)과 표면(104) 사이의 공간에 존재하는 전하 캐리어들은 전하의 종류, 즉 음 또는 양 및 전기장(107)의 방향에 따라 전극(106) 또는 표면(104)으로 전기장에 의하여 가속될 수 있다. 도시된 이 실시예에서, 표면(104)은 음전위에 있으며, 따라서 양전하 캐리어, 예를 들어 양으로 대전된 이온을 끌어당길 것이다. 전극(106)은 양전위에 있으므로, 음전하 캐리어, 예를 들어 전자를 끌어당길 것이다. 마찬가지로, 표면(104)도 양전위에 있으므로, 음전하 캐리어를 끌어당길 것이다.
표면(104)을 향하여 가속된 전하 캐리어들은 오염층(105)에 충돌될 것이다. 입사된 전하 캐리어들은 그들의 에너지의 적어도 일부, 예를 들어 운동에너지를 오염층(105)내의 분자들에 전달시키므로, 표면으로부터 분자들이 떨어져 나갈 수 있다. 따라서, 오염부의 적어도 일부가 제거된다. 일반적으로, 전하 캐리어의 가속및 충돌 프로세스를 이후 스퍼터링 또는 에칭이라 한다. 하지만, 스퍼터링 또는 에칭이라는 용어는 가속 및 충돌 단계로 제한되지 아니한다.
전기장에 의하여 가속화되는 전하 캐리어들은 특정 구현에 적합한 여느 형태로 이루어질 수도 있다. 전하 캐리어들은, 예를 들어 전자와의 충돌에 의하여 발생되는 이온 또는 DUV(Deep Ultra Violet) 또는 EUV(Extreme Ultra Violet) 방사선과 같은 전자기 방사선에 의하여 유도되는 전자들의 충돌에 의하여 발생되는 이온과 같은 하전된 입자들인 구성요소의 표면으로부터 방출된 2차전자 및 상기 형태의 전하 캐리어 등의 조합체를 포함할 수 있다. 전하 캐리어들은 상기 시스템내에 이미 존재하거나 세정시에 삽입 또는 생성될 수 있다. 예를 들어, 일 가스가 세정될 표면 부근에 삽입된 다음 전기장 또는 EUV/DUV 방사선에 의하여 발생되는 활성 전자(energetic electron)들에 의하여 이온화될 수 있다.
전기장(107)은 도 3의 거울(101) 부근의 전극(106)과 같이 구성요소 부근에 전극을 추가시키거나 가외의 전극을 사용하지 않고 각각의 구성요소에 전극을 인가시킴으로써 매 구성요소 마다 개별적으로 인가될 수 있다. 후자의 경우에서는, 리소그래피 투영장치(1)의 1 이상의 다른 구성요소들이 예를 들어 EUV 시스템의 진공 베셀과 같은 카운터 전극으로서 사용될 수도 있다. 마찬가지로, 상이한 전압이 카운터 전극으로서 작용하는 구성요소에 인가되거나 상기 구성요소가 접지될 수도 있다. 양 경우 모두는 구성요소의 표면이 전기장에 위치하여 세정되도록 한다. 구성요소 부근의 전극은, 예를 들어 메시 또는 격자내에 적절한 와이어 및 적정 피치를 갖는 상기 메시 또는 격자일 수도 있다. 상기 와이어 격자 또는 메시는, 둘 모두가 방사선, 특히 EUV와 같은 전자기 방사선에 대해 상대적으로 투과적일 수 있다. 예를 들어, 세정시스템은 오염을 판정하기 위하여 2차 전자 수율을 측정하는 오염 모니터링 모듈에 대하여 사용되는 것과 동일한 카운터 전극을 사용할 수도 있다. 구성요소, 예를 들어 거울의 표면 또한 전압원에 연결되지 않고 전기장에 위치할 수 있다. 예를 들어, 상기 거울은 캐패시터 디바이스의 음전극과 양전극 사이의 전기장내에 자리할 수 있다. 이는, 제한하려는 것은 아니지만, 낮은 전기 도전성을 을 갖는 표면, 즉 절연(isolating) 또는 도핑되지 않은(undoped) 반도체 재료의 표면을 갖는 구성요소에 특히 적합하다.
오염재료의 제거는 상기 전기장을 거쳐 쉽고 빠르게 제어될 수 있다. 전극,예를 들어 전극(106)과 표면(104) 사이에 적절한 전압차를 부여하면, 전기장의 세기가 제어되어 충돌하는 세정 입자들의 에너지나 세정입자들이 발생되는 양이 제어될 수 있다.
하지만, 전기장의 세기는 또한 상이한 방식, 예를 들어 스테핑 모터 등과 같은 기계적 액츄에이터를 이용하여 전극의 위치를 변화시키는 방식으로 제어될 수도 있다. 그러므로, 가령 전극과 표면 사이의 간격이 변경될 수 있다. 이와 마찬가지로, 전기장의 강도는 전극내의 어드레스가능한 격자에 걸친 제어를 통해 변화될 수 있다. 예를 들어, 전기장의 세기를 국부적으로 변화시키거나 전기장 및 전극의 적절한 디자인에 의하여, 본 명세서에서 에칭 속도(etching rate)라 칭하는 재료 제거 속도가 국부적으로 제어될 수 있다. 또한, 전기장은 전극들의 조정가능한 기하학적 구조에 의하여 제어될 수도 있다. 예를 들어, 많은 전극들이 조합된 전극으로서 함께 작용하는 구성요소의 표면 부근에 위치하거나 전극들이 상이한 전위에 놓여져 조합된 전극에 조정가능한 기하학적 구조 및 크기를 부여할 수 있다. 따라서, 예를 들어 오염층의 두께 또는 오염층 재료의 국부적인 차에 대해 에칭 속도가 맞추어질 수 있다. 또한, 충돌하는 입자들의 방향이 전기장의 방향에 의해 제어될 수 있다. 또한, 전극 구조에 있어, 에칭 속도는 적절한 입사각에 크게 의존하므로, 충돌하는 전하 캐리어에의 입사각에 의하여 상기 에칭 속도가 제어될 수 있다. 에칭 속도는, 이온 방사선에 대한 비스듬한 입사각에서 수직 입사시보다 훨씬 크다는 것이 알려져 있다. 또한, 입사각은 상이한 재료의 제거에 대해 상이한 효과를 갖는다. 따라서, 적절한 입사각에 의하여 재료들이 선택적으로 제거될 수 있다.
본 발명의 일 실시예에 따른 방법 또는 세정시스템은, 1단계로 오염물을 제거하거나 동일 표면에서의 2차 이상의 제거를 통해 오염물을 제거하는데 적용될 수 있다. 예를 들어, 상기 표면은 서로 1 이상의 특징이 상이할 수도 있는 2차 이상의 제거를 통해 세정될 수 있다. 가령, 상기 세정은 표면의 특정 부분으로부터 오염물의 일부를 1차로 제거한 후 1차 제거와 1 이상의 특징이 상이하고 상기 표면의 특정 부분에 남아 있는 오염물 일부 또는 모두를 제거하는 2차 제거를 포함할 수 있다. 예를 들어, 제1차 제거는 오염물로부터 제거되는 재료의 유형들에 대해 선택적이지 않을 수도 있으나, 제2차 제거는 실질적으로 선택적이며 1 이상의 미리정해진 유형의 재료만을 제거한다. 또한, 1차 제거가 2차 제거보다 빠른 제거 속도를 가져, 처음에 제1차 제거를 수행하고, 그 후 세정이 보다 느리지만 더욱 잘 제어되며 1차 제거를 수행한 후 얇은 층의 오염물만이 남게될 경우에 과도한 에칭이 방지되는 방식도 가능하다. 하지만, 서로에 대해 적어도 일부 특징들이 상이하거나 그렇지 않은 보다 많은 횟수의 제거를 수행하는 것 역시 가능하며, 본 발명은 특정 양의 제거로 제한되지 않는다.
전기장은 머지 않아 대체로 일정해 수 있다. 더욱이 전기장 발생기에는 전기장을 일정하게 유지시키는 제어장치가 제공될 수도 있다. 예를 들어, 전기장센서 및 전기장을 일정하게 유지시키기 위하여 전극(106)의 전압을 조정하는 피드백 루프를 포함하는 제어장치가 제공될 수 있다. 하지만, 예를 들어 DC전압 공급부를 사용하는 도 3 및 도 4에서의 상이한 방법으로 전기장이 일정하게 유지될 수도 있다.
전기장 발생기에는 제 때에 전기장을 변화시키는 가변장치(vary device)가 제공될 수도 있다. 예를 들어, 도 4의 실시예에서, 가변장치는 거울(101) 및 전극(106)에 연결되는 AC 전압 공급부를 포함할 수 있다. 상기 가변 전기장은, 예를 들어 제 때에 변화하는 구성요소가 포개지는 시간에 대해 일정한 구성요소, 예를 들어 도 3 및 도 4의 실시예에서 사용하는 DC 전압상에 놓여지는 AC 전압을 제공하는 전압공급부를 구비할 수 있다. 전기장은 또한 교번 전기장, 예를 들어 상기 전기장의 방향이 제 때에 반전되어 양전위와 음전위 사이의 표면을 전환시키는 교번 전기장일 수도 있다. 전기장을 반전시키기 위하여, 전기장 발생기에는 반전기구가 제공될 수도 있다. 상기 반전기구는, 예를 들어, 도 3 및 도 4의 실시예에 있어서 공급되는 양전압과 음전압이 번갈아 출력되는 구성요소에 연결되는 출력부를 갖는 전압공급부일 수도 있다.
가변 전기장이 사용된다면, 전기장은 상기 구성요소로 가속화될 전하캐리어의 특성과 관련된 변조주파수에 의하여 변조될 수 있다. 예를 들어, 플라즈마 스퍼터링 기술에서 일반적으로 알려진 바와 같이, 전기장의 주파수는 표면(104)에 충돌하는 상이한 유형의 전하 캐리어의 상이한 형태의 (상대적인) 양과 관련되기 때문에, 상기 특성은 전하 캐리어의 상이한 형태의 (상대적인) 양일 수 있다. 거울면에 충돌하는 이온의 양 및 에너지는 전기장이 스위치 온되는 주기 및 전기장이 스위치 오프되는 주기를 통해 온/오프 변조된 전기장으로 제어될 수 있다.
변조된 전기장은 예를 들어, 펄싱된 전기장, 즉 오프-타임에 비해 통상적으로 반 이하의 주기를 갖는 비교적 짧은 온-타임을 갖는 전기장일 수 있다. 예를 들어, 전기장 발생기는 펄싱된 전기장을 발생시키는 펄싱된 전기장 발생기를 포함할 수도 있다. 구성요소를 향하여 가속화된 전하 캐리어의 특성들은 적절한 방식으로 전기장의 펄스 폭을 최적화함으로써 제어될 수 있다. 예를 들어, 이온에 대하여 펄스 폭은 (변조 주기 당) 이온 플럭스라고도 칭하는, 표면상에 출돌하는 이온의 양과 표면에서 충돌하는 이온의 에너지 모두에 영향을 미친다. 따라서, 펄스 폭의 제어는 제어된 에너지에 의하여 표면상에 충돌하는 제어된 양의 이온을 가져온다. 도 3 및 도 4의 실시예에서 펄스 발생기는, 예를 들어 전압원(V)에 직렬로 연결된 스위치 개방 상태의 기간을 제어하는 펄스 폭 제어회로를 포함할 수 있다. 하지만, 펄스 폭의 제어는 특정 구현 동안에 적합한 여타 방식으로 구현될 수도 있다.
실험에 따르면, 스퍼터 속도라고도 불리우는 에칭 속도는, 1 나노초 내지 10 밀리초 범위의 펄스폭 및 1kHz 내지 100kHz 사이의 변조 주파수에 대해 0(스퍼터링 없음)에서 20nm/min 미만까지의 큰 정확도로 변화될 수 있음을 보여준다. 10nm/min까지의 에칭 속도는, 수 나노초 내지 수 밀리초 사이의 펄스폭에 대하여 변조 주파수가 10kHz의 몇 배 이하인 것이 밝혀졌다. 하지만, 본 발명은 이들 실험결과에 국한되지는 않는다.
본 발명의 실시예에 따른 방법 또는 시스템에서는, 표면이 세정되고 있는 동안에 구성요소의 표면 전체의 일부분상에 전자기방사선이 투영될 수 있다. 상기 방사선은 예컨대 DUV(Deep Ultra Violet) 또는 EUV(Extreme Ultra Violet) 방사선일 수 있다. DUV 또는 EUV 방사선은 기체 분자들을 이온화할 수 있으므로, 전하 캐리어를 생성할 수 있다. 이에 따라, 오염의 제거가 증가되는데, 그 이유는 보다 많은세정 입자들이 존재하기 때문이다. 상기 방사선은, 예컨대 도 1의 장치(1)내의 마스크(MA)로서 전용 레티클을 사용하여, 전체 표면 전반에 걸쳐 적용되거나 또는 상기 표면의 일부분에만 국부적으로 적용될 수 있는데, 상기 레티클은 예컨대 거울과 같은 오염된 표면에서 국부적으로 방사선 빔 프로파일을 생성하며, 상기 방사선 빔 프로파일은 상기 표면으로부터 제거될 오염층의 두께에 해당한다. 이에 따라, 상기 구성요소는 단지 국부적으로만 세정되며, 따라서 에칭 또는 비균질 제거를 방지할 수 있다. 상기 전자기방사선은 소정의 적합한 방식으로 제공될 수 있는데, 예컨대 도 3 및 도 4의 세정 시스템(100)에는 상기 표면상에 투영될 수 있는 도 1 및 도 2의 방사원(LA)으로부터의 방사원 또는 방사 디바이스가 제공될 수 있다.
또한, 본 발명의 실시예에 따른 세정 시스템 또는 방법에서는, 전하 캐리어를 제어하도록 자기장 생성기 디바이스에 의하여 자기장이 적용될 수 있다. 도 4의 시스템의 실시예는 전극(106) 부근의 영역에 2차 전자들을 포함하여 이온의 생성을 자극하도록 전극(106) 부근에 위치하는 영구자석(161)을 가진다. 도 4에서, 상기 영구자석(161)은 2개의 북극(N) 및 상기 북극 사이에 남극(S)을 가진다. 따라서, 상기 자석(161)은 전극(106) 부근의 구역("자기 트랩(magnetic trap)")을 생성하는 자기장을 가지는데, 여기서는 전자 손실율이 줄어들고 이온화 확률이 증대된다.
전기장(107)을 발생시키는데 사용되는 전극(들)은 상기 구성요소의 표면의 재료와 실질적으로 유사한 재료의 표면을 가질 수 있다. 이에 따라, 전극(들)의 표면으로부터 전하 캐리어에 의해 제거된 재료를 갖는 구성요소의 표면의 오염이 방지된다.
본 발명의 실시예의 실시예에서, 리소그래피 장치내의 광학요소들을 반사시키는 역할을 하는 라미네이트 또는 기질의 표면, 예컨대 마스크 및 라미네이트의 표면들은, 그 위에 오염물 클러스터들이 존재하는 경우, 이들이 제거될 때까지, 이온 및/또는 전자 방사선에 의한 에너지를 갖는 오염물 클러스터를 공급함으로써 세정된다. 이들 오염물 클러스터들의 직경은 통상적으로 수십 나노미터(대략 80nm)에서 수 마이크로미터 사이의 범위에 있으며, 그 높이는 수 나노미터에서 적어도 40nm 사이의 범위에 있다. 그들은 보통 우세하게 탄소, 산소, 황, 질소 및/또는 실리콘을 포함한다. 하지만, 클러스터들은 또한 상이한 구성재료 및/또는 상이한 치수를 가질 수 있다. 오염물 클러스터들은 소정의 잔류 가스 분위기에서 거울을 배치시키는 것으로부터 또는 DUV에서 -EUV를 넘어- 뢴트겐 사이의 범위의 파장을 갖는 광자 또는 전자에 의한 방사선으로부터 기인할 수 있으며, 또는 외부 전기장의 영향하의 잔류 가스 분위기에서 기인할 수 있다.
상기 실시예는 특별히 나노미터 범위의 횡방향 크기 스케일에 적용될 수 있다. 최소의 이온이나 전자 빔 크기에 의하면, 특별히 나노미터 크기의 오염물 클러스터들이 제거될 수 있다. 하지만, 필요에 따라, 입자 빔 단면의 적절한 확대에 의해 글로벌 세정이 수행될 수도 있다.
표면에서 오염물 클러스터들을 제거하기 위하여 2가지 상이한 프로세스들이 기여할 수 있다. 한편으로는, 입자들을 소성시킴으로써 기계적 제거가 일어나고, 다른 한편으로는, 말하자면 오염물 클러스터들이 균열될 때까지 입자 방사선 에너지가 공급됨으로써 "응력-해제(stress-release)" 메커니즘이 발생한다.
방사선 입자의 종류를 선택하는 것과는 별개로, 상기 세정방법은 다음의 파라미터에 의해 보다 정확하게 제어될 수 있다: 입자 빔 에너지, 입사각 및 방사 시간. 바람직하게는, 3개의 모든 파라미터들이, 한편으로는 클러스터들이 균열되고 및/또는 융제(ablate)되고, 다른 한편으로는 표면 거칠기 및/또는 층 두께의 균질성이 본질적으로 수정되지 않은 상태로 남아 있도록 조정되어야 한다. 입자 종류 및 표면 재료에 따라, 클러스터의 제거와 표면의 수정 사이에 균형이 얻어지도록 파라미터 범위들이 선택되어야 한다.
클러스터를 제거하기 위하여, 이온 빔 에너지는 예를 들어 40eV 내지 2000eV 사이에 있을 수 있으며, 전자 빔 에너지는 40eV 내지 15000eV 사이에 있을 수 있다. 표면에 대한 이온 빔의 입사각은 4°내지 45°사이의 범위에 있을 수 있고, 전자 빔의 입사각은 70°내지 90°사이의 범위에 있을 수 있으며, 방사 시간은 0.5초 내지 300초 사이에 있을 수 있다.
이온 빔에 대하여, 바람직하게는 예컨대 불활성 가스 이온(즉, 헬륨, 네온, 아르곤 또는 크립톤 이온), 할로겐 이온(즉, 플루오라이드, 클로라이드, 브로마이드 또는 이오다이드) 및/또는 갈륨 이온들이 적용될 수 있다. 한편으로, 기술적인 관점에서 보면, 이들 이온들은 이온 빔을 제공하기에 적합하다. 다른 한편으로는, 이러한 이온 빔에 의하여, 표면 거칠기 및/또는 층 두께의 균질성에 너무 많은 영향을 미치지 않으면서도, 오염물 클러스터들이 아주 양호하게 제거될 수 있다.
본 발명에 따른 세정방법은, 몰리브덴, 몰리브덴 탄화물, 루테늄, 로듐, 이리듐, 금, 볼프람, 니켈, 붕소 탄화물, 실리콘, 베릴륨, 실리콘 질화물, 실리콘 이산화물, 붕소 질화물, 티타늄, 티타늄 이산화물, 티타늄 질화물, 이트륨, 이트륨 산화물, 세륨, 로듐 산화물, 지르코늄, 지르코늄 산화물, 니오븀, 구리-금 조성물(즉, Cu3Au, AuCu, Au3Cu), 팔라듐 또는 플래티늄을 포함하는 표면으로부터 클러스터를 제거하는데 매우 적합하다. 특히, 예컨대 다중층과 같은 EUV-광학기의 세정을 위하여, 상기 표면들은 이들 층 재료를 포함하여야 한다. 기판 재료로서 특히 적합한 것은, 실리콘, 석영 또는 상이한 종류의 유리(예를 들어, Zerodur(Schott사 제품), ULE(Corning사 제품))를 들 수 있다.
본 발명에 따른 방법의 실시예를 이용하여 오염물 클러스터를 제거하는 4가지 실시예가 후술된다.
실시예 1
30초보다 짧은 시간주기 동안 표면에 대한 입사각이 10°내지 30°인 (이온 소스에 따른) 마이크로-암페어 범위의 전류 및 0.5keV 내지 1.0keV 에너지의 Ne-이온을 가진 오염물 클러스터에 의해 오염된 층의 소성(firing)이 오염물 클러스터의 제거를 초래한다. 대략 0.3nm의 rms-값의 표면 거칠기는 실제적으로 변하지 않은 상태로 남아 있다. 만일 상부층들이 매우 얇고, 이들이 예컨대 루테늄과 같은 금속 또는 예컨대 실리콘과 같은 반도체를 포함한다면, 이들 층들의 무시할 만하게 작은 혼합(commingling)이 일어날 수 있다.
실시예 2
상세하게는, 스캐닝 전자 현미경의 도움으로, 표면에 대한 85°내지 90°의각도하에서 60초보다 짧은 시간주기 동안 나노-암페어 범위의 전류 및 10keV 전자를 갖는 오염물 클러스터의 소성이 오염물 클러스터의 분열을 초래한다. 남아 있는 재료 잔여물들은 후속해서 예컨대 UV-오존 세정을 통하여 제거될 수 있다. 상부층들의 혼합은 거의 일어나지 않는다. 대략 0.25nm의 rms-값의 표면 거칠기는 변하지 않은 상태로 남아 있다.
실시예 3
10 내지 20초 동안 30° 이하의 표면에 대한 입사각을 가진 마이크로암페어 범위의 전류와 1keV Ar-이온으로 오염물 클러스터를 소성한 결과 오염물 클러스터가 제거된다. 아르곤의 질량이 본질적으로 오염물 클러스터 중의 재료의 질량보다 높기 때문에, 상부층이 로듐과 같은 금속이나 실리콘 질화물과 같은 비금속을 포함하여 이루어지는 경우 및 이들이 매우 얇은 경우 상부층들의 약간의 혼합이 일어날 수 있다. 반면 표면조도는 거의 영향을 받지 않는다.
실시예 4
스캐닝전자현미경하에서, 10 내지 20초동안 표면에 대하여 80° 내지 85°의 입사각 하에서 나노암페어 범위의 전류와 함께 12keV 전자로, 및 30° 이하의 표면에 대한 입사각 하에서 마이크로암페어 범위의 전류로 0.5keV Kr-이온으로 오염물 클러스트를 특이적으로 소성한 결과 오염물 클러스터가 용제(ablating) 및 분해를 통해 제거된다. 크립톤의 질량은 본질적으로 오염물 클러스터 중의 재료의 질량보다 높기 때문에, 상부층이 이리듐과 같은 금속이나 붕소 탄화물과 같은 비금속을 포함하여 이루어지는 경우 및 이들이 매우 얇은 경우 상부층들의 약간의 혼합이 일어날 수 있다. 반면, 표면조도는 거의 영향을 받지 않는다.
도 3과 4의 세정시스템(100) 또는 특허청구범위 제19항 내지 제33항의 방법 중 어느 방법에 따라 작동하는 세정시스템은 유사한 방식으로 조절되어 예를 들면 강도나 주파수와 같은 전기장의 하나 이상의 특성을 변화시킴으로써 또는 전하 캐리어의 종류를 변화시킴으로써, 특정재료의 오염물을 선택적으로 제거할 수 있다. 따라서, 예를 들면 탄소함유재료나 실리콘함유재료를 가진 오염물은 다층거울의 층에서 실제거울표면, 예를 들면 Si나 Mo에서 재료를 제거하지 않고 구성요소의 표면으로부터 선택적으로 제거될 수 있다. 그러한 선택적인 제거는 EUV 다층 거울에 특히 적합하다. 그러한 거울은 오염된 표면으로부터 너무 많은 재료가 제거되면 다층 거울의 적절한 표면, 즉 오염전의 거울의 표면을 파괴하고 따라서 거울의 광학특성을 파괴할 것이기 때문에 "과에칭(over-etching)"에 상대적으로 민감하다.
재료의 선택적 제거는 예를 들면 전기장의 강도를 조절하는 등에 의하여 전하 캐리어를 특정속도 또는 운동에너지까지 가속화함으로써 얻어질 수 있다. 일반적으로, 제거되는 원자의 표면결합에너지 Ebind에 및 투사물과 표적 간의 최대전달효율[즉, 4*Mt.a.*Ms.i./(Mt.a.+Ms.i.)2](Mt.a.는 표적원자의 질량을 나타내고, Ms.i.는 스퍼터링이온(즉, 투사물)의 질량을 나타냄)에 1차적으로 의존하는 물리적 스퍼터링에 대해 임계치가 존재한다. 실제로, 표면으로부터 표적원자를 방출하기 위해서는, 효과적으로 전달된 에너지가 표면결합에너지 Ebind보다 커야 한다. 방출에 필요한 최소에너지를 물리적 스퍼터링에 대한 최소변위에너지임계치 Edispl라고 한다.
도 5[문헌(E. Hechtl, J.Bohdansky, "Sputtering behaviour of graphite and molybdenum at low bombarding energies", J.Nucl. Mat. 122/123(1984) 1431)으로부터 입수]는 측정된 그래파이트의 스퍼터수율을 다른 운동에너지를 가진 다양한 희가스로의 충돌에 대한 이온원자질량의 함수로서 나타낸다. 그래파이트는 EUV 리소그래피투영장치의 탄소오염물의 구조에 가까운 기준재료이고, 따라서 그래파이트에 대해 얻어진 결과는 다른 탄소함유재료에 적용될 수 있다. 도 5에서, 2가지 배향의 그래파이트의 스퍼터링 수율이 도시되어 있다. 개방된 기호는 그래파이트 구조 내의 탄소층에 평행한 그래파이트 절단부를 나타내고 폐쇄된 기호는 카본층에 수직인 그래파이트 절단부를 나타낸다. 도 5에서 추론할 수 있는 바와 같이, 스퍼터링이온의 운동에너지를 감소시킴으로써 스퍼터수율이 상당히 감소될 수 있고 따라서 전하 캐리어의 적합한 운동에너지에 의해 오염물을 선택적으로 제거할 수 있다. 예를 들면 대략 10-3mbar Ar의 압력에서 EUV 방사 하에서, 물리적 스퍼터링에 의해 탄소함유재료를 선택적으로 제거하는 것(그러나 스퍼터수율은 매우 낮음)은 150eV 이하의 운동에너지를 가지는 이온으로도, 특히 약 100eV 와 40eV의 에너지를 가지는 이온으로도 가능하다는 것이 실험에서 나타난다.
표 1은 일부 종류의 표면재료의 (Ar 이온을 사용한) 물리적 스퍼터링에 대한 최소 변위에너지 임계치 Edispl를 나타낸다. 표 1에서 나타난 바와 같이, 그래파이트는 25eV의 임계치 Edispl를 가지는 반면, Au는 36eV의 임계치 Edispl를 가진다. 따라서, 그래파이트는 예를 들면 Au 거울로부터 ~30eV의 운동에너지를 가지는 아르곤이온을 사용하여 거울표면에 손상을 입히지 않고 세정함으로써 매우 선택적으로 제거될 수 있는데, 이는 이 에너지가 그래파이트에 대한 임계치 이상이지만 Au에 대한 임계치보다 낮기 때문이다. 이와 유사하게 Si는 20eV보다 낮은 에너지를 가지는 Ar 이온을 사용하여 Ru 표면으로부터 제거될 수 있고 Si는 36eV보다 낮은 에너지를 가지는 Ar 이온을 사용하여 Au 표면으로부터 제거될 수 있다. 다른 재료도 마찬가지로 선택적으로 제거될 수 있으며 본 발명은 재료의 특정 조합에 제한되지 않는다.
물리적 스퍼터링에 대한 최소변위에너지
C(그래파이트) 25eV
C(다이아몬드) 35eV
Si 13-16eV
Mo 36eV
Ru 20-35eV
Au 36eV
리소그래피 투영장치의 구성요소의 표면으로부터 특정재료를 선택적으로 제거하기 위하여, 반응성 이온 에칭(RIE, reactive ion etching)도 사용할 수 있다. 반응성 이온 에칭에서는, 이온이 표면재료와 반응하지 않고 표면에 있는 문자에 운동에너지만 전달하는 물리적 스퍼터링과 달리, 이온이 전기장에 의해 표면을 향해 가속화된 후 표면재료와 화학적으로 반응한다. 그러나, 대부분의 RIE 공정에서, 일부 운동에너지가 이온으로부터 전달되고 따라서 물리적 스퍼터링도 일어난다.
에칭되는 재료에 따라, 반응성 이온은 O+, H+, N+, F+, Cl+,Br+[문헌(S.Tachi, S.Okudaira, "Chemical sputtering of silicon by F+, Cl+, and Br+ions: reactive spot model for reactive ion etching", J.Vac. Sci. Technol. B 4, 2(1986) 459)에서 입수] 등이 될 수 있다. 이들 이온은 이들 부분을 함유하는 가스 등 또는 이로부터 반응성 이온이 만들어질 수 있는 화학적으로 결합된 원자와 같은 이들 부분이 생성될 수 있는 구성성분을 첨가함으로써 시스템에 첨가될 수 잇다. 예를 들면, 탄소함유재료만이 제거되어야 하는 경우, 이들 반응성 이온은 탄소함유재료와 반응하여 예를 들면 탄소산화물(COx)과 같은 신규한 화합물을 형성할 수 있다. 일반적인 경우 이렇게 형성된 화합물이 휘발성이라면, 탄소함유재료는 표면으로부터 제거된다.
도 7은 그래파이트, Mo 및 Si 상의 물리적 스퍼터링과 RIE에 대한 실험적 스퍼터속도를 나타낸다. 도 7에서, 스퍼터링수율은 전자볼트(eV)에서의 이온에너지의 함수로 나타난다. 도 7에 도시된 바와 같이, 물리적 스퍼터링은 그래파이트에 대해서는 네온(Ne+) 이온으로 Si에 대해서는 아르곤(Ar+) 이온으로 행하였다. RIE는 그래파이트와 Mo에 대해서는 산소이온(O+)을 사용하여 행하였다.
낮은 이온에너지에 대해, 산소이온충돌에 의한 그래파이트의 총부식수율은 온도와 입사이온에너지와 거의 무관하게 약 1이라는 것이 중량손실을 측정함으로써 확인되었다. 이것은 낮은 에너지범위에서 화학적 부식이 물리적 스퍼터링보다 우세하다는 것을 나타낸다. 도 7에서, Mo의 RIE는 150eV 이상의 O+-이온에너지에 대해효과적인 반면, 탄소는 10eV 이하의 O+-이온에너지를 사용한 반응성 O+-이온에칭에 의해 이미 제거될 수 있었던 것으로 나타난다. 따라서, 저에너지(150eV 이하) O+스퍼터링이 예를 들면 Mo 표면으로부터 탄소를 제거하는데 매우 높은(2차수의 크기) 선택성을 얻는데 사용될 수 있다.
도 7에서 추론될 수 있는 바와 같이, 예를 들면 100eV보다 낮은 이온에너지에서, Mo 또는 Si 표면으로부터 탄소를 선택적으로 제거하는 것은 100 대 1의 선택성으로 얻어질 수 있는 반면, 5 내지 25eV의 이온에너지에 대하여, 더 높은 선택성이 얻어질 수 있다. 방향족 및 그래파이트유사 재료를 제거하기 위하여, 5eV 이상의 이온에너지가 화학결합의 분해에 바람직한 반면, 물리적 스퍼터링에 대하여, 25eV의 최소변위에너지가 유리하다. 표면으로부터 물리흡착된(physisorbed) 분자를 제거하는데, 더 낮은 에너지로도 충분할 수 있다. 전자자극된 탈착이 물리흡착된 분자 및 약하게 화학흡착된 분자를 제거하는데 사용될 수 있다. 또한, O2 *와 같은 여기된 분자도 화학에칭에 기여하는 것으로 당해 기술분야에서 공지되어 있다.
도 6[문헌(S.Taachi, S.Okudaira, ...)으로부터 입수]은 즉, 물리적 스퍼터링 구성성분을 제거함으로써 유도되는, Cl+, F+및 Br+이온에 의한 Si의 RIE의 화학스퍼터수율을 나타낸다.
(국부적) 에칭속도, 전기장강도 등과 같은 본 발명의 일실시형태에 따른 방법의 특정 파라미터들을 확인하기 위하여, 광학검출, 타원검출(ellipsometricdetection) 또는 이차전자를 사용한 검출과 같은 오염층의 두께를 위한 기존의 검출방법을 사용할 수 있다.
본 발명의 일실시형태에 따른 방법은 소위 오프라인이라고 하는 상태인 리소그래피투영장치가 사용되지 않을 때의 세정인 오프라인세정으로 적용될 수 있다. 그러나, 예를 들면 펄스된 EUV 공급원에 대한 전기장의 펄스를 유발함으로써 장치가 온라인 또는 사용중인 경우 본 발명의 실시형태에 따른 방법을 적용하는 것도 가능하다. 이것은 휴지시간을 방지하고 따라서 그에 따른 소유비용을 감소시킨다. 명확하게 하기 위하여, 오프라인 세정은 웨이퍼를 노출시키지 않는 때 리소그래피장치 내에서 세정하는 단계를 포함하여 이루어진다. 온라인세정은 노출시키는 동안 리소그래피장치 내에서 세정하는 단계를 포함하여 이루어진다. 온라인과 오프라인 세정은 모두 그 자리에서 행해진다, 즉, 각 구성요소는 세정하는 동안 장치로부터 제거될 필요가 없다. 온라인세정은 일정한 간격으로, 예를 들면 표면이 어느 정도까지 오염이 된 후 행해질 수 있다. 그러나, 그러한 온라인 세정은 또한 (반)연속적인 방식으로 행해져서 오염물의 실질적인 성장을 방지할 수도 있다. (반)연속적 방식으로 행해지는 본 발명에 따른 세정방법은 완화법으로 생각될 수도 있다.
본 발명의 일실시형태에 따른 온라인 세정방법은 예를 들면, 방사선민감성 재료층으로 적어도 부분적으로 덮인 기판을 제공하는 단계; 방사선 시스템을 사용하여 방사선의 투영빔을 제공하는 단계; 투영빔의 단편에 패턴을 부여하기 위하여 패터닝수단을 사용하는 단계; 및 방사선민감성 재료층의 표적부분 상에 패터닝된 방사선빔을 투영하는 단계를 포함하여 이루어지는 디바이스제조방법을 포함하여 이루어질 수 있다. 그 후 예를 들면 탄소함유재료로 구성요소의 표면이 어느 정도까지 오염되면, 오염물을 제거하기 위한 본 발명의 일실시형태에 따른 세정방법이 리소그래피 투영장치의 일부에 전기장을 생성시키는 단계; 상기 전기장에 의해 오염물 근처에 세정입자를 제공하는 단계 및 세정입자와 오염물 간의 상호작용을 통해 상기 오염물의 적어도 일부를 제거하는 단계를 포함하여 이루어지는 방사선 시스템의 적어도 일부에 적용될 수 있다. 세정방법은 또한 기판을 제공하기 전 또는 투영빔을 제공하기 전에 적용될 수 있다. 따라서, 제조가 깨끗한 표면으로 시작되고, 세정이 광학 구성요소에 적용된다면, 투영빔에서 높은 정도의 정확성이 얻어진다.
또한 본 발명의 일실시형태에 따른 방법이나 시스템은 세정되는 구성요소를 그 위치에서 제거하지 않고, 그 자리에서 행해질 수 있다.
상기 실시형태는 본 발명을 제한하기 보다는 실시예하는 것이고 당업자라면 첨부된 특허청구범위의 범위를 벗어나지 않고 다른 방법을 생각해 낼 수 있다. 특허청구범위에서, 괄호 안의 참조기호는 특허청구범위를 제한하는 것으로 해석되지 않는다. "포함하여 이루어지는"이라는 단어는 특허청구범위에 나열된 것과 다른 원소나 단계가 존재하는 것을 배제하지 않는다. 특정측정방법이 서로 다른 청구항에서 열거된다는 단순한 사실이 이들 측정방법의 조합이 유리하게 사용될 수 없다는 것을 나타내는 것은 아니다.
본 발명에 따르면, 리소그래피 투영장치의 구성요소 표면으로부터 오염을 제거하는 개선된 세정 시스템, 및 특히 종래의 세정 시스템보다 오염제거의 보다 양호한 제어를 제공하는 세정 시스템을 제공할 수 있다.

Claims (35)

  1. 리소그래피 투영장치 내의 구성요소의 표면 근처의 입자를 세정하기 위한 세정입자제공기를 포함하여 이루어지고, 상기 세정입자제공기가 전기장을 생성하기 위한 전기장생성기를 포함하여 이루어지는 것을 특징으로 하는, 리소그래피투영장치 내의 구성요소의 표면의 적어도 일부로부터 오염물을 제거하는 세정시스템.
  2. 제1항에 있어서,
    상기 세정시스템이 제거되는 오염물의 하나 이상의 특성과 관련하여 전기장의 하나 이상의 특성을 조절하기 위한, 전기장생성기 디바이스에 연통하여 연결된 오염물관련 조정기 디바이스를 더 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  3. 제1항 또는 제2항에 있어서,
    상기 세정시스템이 제공되는 세정입자의 하나 이상의 특성과 관련하여 전기장의 하나 이상의 특성을 조절하기 위한, 전기장생성기 디바이스에 연통하여 연결된 세정입자관련 조정기 디바이스를 더 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  4. 제3항에 있어서,
    상기 세정입자관련 조정기 디바이스가 제거되는 오염물의 하나 이상의 특성과 관련하여 전기장 및/또는 제공되는 세정입자의 하나 이상의 특성을 조절하기 위한 장치를 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서,
    상기 전기장생성기가 적시에 전기장을 실질적으로 일정하게 유지하기 위한 제어장치를 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서,
    상기 전기장생성기가 적시에 전기장을 변화시키는 변화장치를 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  7. 제3항 또는 제6항에 있어서,
    상기 전기장생성기가 펄스된 전기장을 생성하기 위한 펄스 전기장생성기를 포함하여 이루어지고,
    상기 세정입자조정기 디바이스가 제공되는 세정입자의 하나 이상의 특성과 관련하여 펄스된 전기장의 펄스폭을 조절하기 위하여 펄스생성기에 연통하여 연결된 것을 특징으로 하는 세정시스템.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서,
    제공되는 상기 세정입자가
    ㆍ전기장에 의해 가속화되는 전하 캐리어; 및
    ㆍ가속화된 전하 캐리어에 의해 생성된 입자로 이루어진 그룹 중 하나 이상을 포함하여 이루어지고,
    전하 캐리어가
    ㆍDUV(Deep Ultra Violet) 또는 EUV(Extreme Ultra Violet) 방사선과 같은 전자기 방사선에 의해 유도되는 하전된 입자;
    ㆍ구성요소의 표면과 이온을 생성함으로써 생성된 전자로부터 방출된 2차전자와 같은 전자;
    ㆍ이온;
    ㆍ화학반응성 전하 캐리어; 및
    ㆍ희가스이온과 같은 화학적 불활성 전하 캐리어로 이루어진 그룹에서 하나 이상을 포함하여 이루어지고,
    가속화된 전하 캐리어에 의해 생성된 입자가 반응성 이온 또는 자유라디칼과 같은 반응성 입자를 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서,
    상기 세정시스템이 세정입자를 조절하기 위하여 자계를 생성하기 위한 하나 이상의 자계생성기 디바이스를 더 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, 상기 세정시스템이,
    전기장 내에 하나 이상의 가스를 제공하기 위한 하나 이상의 가스공급기를 더 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  11. 제10항에 있어서,
    상기 가스가 산소와 수소 중 하나이고, 상기 가스로부터 생성된 반응성 이온이 전기장에 의해 표면을 향하여 가속화되는 것을 특징으로 하는 세정시스템.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서,
    상기 전기장생성기 디바이스가 하나 이상의 전극을 포함하여 이루어지고,
    상기 세정시스템이 상기 표면에 대하여 하나 이상의 전극 중 하나 이상의 위치를 변경하기 위한 기계적 액츄에이터를 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  13. 제12항에 있어서,
    상기 기계적 액츄에이터가 상기 전극과 상기 표면 사이의 거리를 조절할 수 있는 것을 특징으로 하는 세정시스템.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서,
    상기 전기장생성기 디바이스가 조절가능한 형상을 가진 하나 이상의 전극을 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서,
    상기 전기장생성기 디바이스가 구성성분의 표면의 물질과 실질적으로 유사한 물질의 표면을 가지는 하나 이상의 전극을 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  16. 제1항 내지 제15항 중 어느 한 항에 있어서,
    상기 전기장생성기 디바이스가 전기장을 반전시키기 위한 반전메카니즘을 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  17. 제1항 내지 제16항 중 어느 한 항에 있어서, 상기 세정시스템이,
    구성요소의 표면의 적어도 일부에, DUV(Deep Ultra Violet) 또는 EUV(Extreme Ultra Violet)와 같은 전자기방사선을 투영하기 위한 방사선 디바이스를 더 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  18. 제1항 내지 제17항 중 어느 한 항에 있어서, 상기 세정시스템이,
    빔강도, 빔방향, 빔편광, 빔단면 중 하나 이상이 구성요소의 표면으로부터 제거되는 오염물의 위치, 오염물의 층두께 및/또는 오염물의 종류에 따라 조절되도록 레티클에 의해 반사되거나 전달되는 방사선빔 프로파일을 변형시키기 위하여 구성되고 배열된 레티클을 더 포함하여 이루어지는 것을 특징으로 하는 세정시스템.
  19. 리소그래피투영장치의 적어도 일부에 전기장을 생성하는 단계;
    상기 전기장에 의하여 오염물 근처에 세정입자를 제공하는 단계; 및
    상기 세정입자와 상기 오염물의 상호작용을 통하여 상기 오염물의 적어도 일부를 제거하는 단계를 포함하여 이루어지는, 리소그래피투영장치의 구성요소의 표면의 적어도 일부로부터 오염물을 제거하는 방법.
  20. 제19항에 있어서,
    구성요소의 표면의 적어도 일부에, DUV(Deep Ultra Violet) 또는 EUV(Extreme Ultra Violet)와 같은 전자기방사선을 투영하는 단계를 더 포함하여 이루어지는 것을 특징으로 하는 방법.
  21. 제19항 또는 제20항에 있어서,
    상기 오염물의 일부의 제1차제거단계; 및
    상기 오염물의 다른 일부의 하나 이상의 제2차제거단계를 포함하여 이루어지고,
    상기 제2차제거단계가 상기 제1차제거단계와 하나 이상의 면에서 다른 것을 특징으로 하는 방법.
  22. 표면에 존재하는 오염물 클러스터에 이들이 제거될 때까지 이온 및/또는 전자방사선에 의해 에너지를 공급하는 것을 특징으로 하는, 라미네이트 또는 기판의 표면을 세정하는 방법.
  23. 제22항에 있어서,
    상기 클러스터가 분해 및/또는 융제될 때까지 이온 및/또는 전자방사선에 의해 오염물 클러스터에 에너지를 공급하는 것을 특징으로 하는 방법.
  24. 제22항 또는 제23항에 있어서,
    이온 및/또는 전자빔의 에너지가 한편으로는 오염물이 분해 및/또는 융제되고 다른 한편으로는 표면조도 및/또는 층두께의 균질성이 본질적으로 변형되지 않고 남아있도록 하는 것을 특징으로 하는 방법.
  25. 제22항 내지 제24항 중 어느 한 항에 있어서,
    상기 이온빔의 에너지가 40eV 내지 2000eV인 것을 특징으로 하는 방법.
  26. 제22항 내지 제24항 중 어느 한 항에 있어서,
    상기 전자빔의 에너지가 40eV 내지 15000eV인 것을 특징으로 하는 방법.
  27. 제22항 내지 제26항 중 어느 한 항에 있어서,
    표면에 대한 상기 이온 및/또는 전자빔의 입사각이 한편으로는 오염물 클러스터가 분해 및/또는 융제되고 다른 한편으로는 표면조도 및/또는 층두께의 균질성이 본질적으로 변형되지 않고 남아있도록 선택되는 것을 특징으로 하는 방법.
  28. 제27항에 있어서,
    상기 표면에 대한 이온빔의 입사각이 4도 내지 45도에서 선택되는 것을 특징으로 하는 방법.
  29. 제27항 또는 제28항에 있어서,
    상기 표면에 대한 전자빔의 입사각이 70도 내지 90도에서 선택되는 것을 특징으로 하는 방법.
  30. 제22항 내지 제29항 중 어느 한 항에 있어서,
    이온 및/또는 전자빔의 방사시간이 한편으로는 오염물 클러스터가 분해 및/또는 융제되고 다른 한편으로는 표면조도 및/또는 층두께의 균질성이 본질적으로 변형되지 않고 남아있도록 선택되는 것을 특징으로 하는 방법.
  31. 제30항에 있어서,
    상기 방사시간이 0.5초 내지 300초에서 선택되는 것을 특징으로 하는 방법.
  32. 제22항 내지 제31항 중 어느 한 항에 있어서,
    상기 이온빔 중의 이온이 불활성가스이온, 할로겐이온 및 갈륨이온으로 이루어진 그룹 중 하나 이상을 포함하여 이루어지는 것을 특징으로 하는 방법.
  33. 제22항 내지 제32항 중 어느 한 항에 있어서,
    세정되는 표면이 몰리브덴, 몰리브덴 탄화물, 루테늄, 로듐, 이리듐, 금, 볼프람, 니켈, 붕소 탄화물, 실리콘, 베릴륨, 실리콘 질화물, 실리콘 이산화물, 붕소 질화물, 티타늄, 티타늄 이산화물, 티타늄 질화물, 이트륨, 이트륨 산화물, 세륨, 로듐 산화물, 지르코늄, 지르코늄 산화물, 니오븀, 구리-금 조성물, 팔라듐 또는 플래티늄을 포함하여 이루어지는 것을 특징으로 하는 방법.
  34. 제19항 내지 제33항 중 어느 한 항에 따른 방법을 적용하여 구성요소의 표면의 적어도 일부로부터 오염물을 제거하는 단계;
    방사선민감성 물질층으로 적어도 부분적으로 덮인 기판을 제공하는 단계;
    방사선의 투영빔을 제공하는 단계;
    투영빔의 단편에 패턴을 부여하기 위하여 패터닝수단을 사용하는 단계; 및
    방사선민감성 물질층의 표적부분 상에 패터닝된 방사선빔을 투영하는 단계를 포함하여 이루어지는 디바이스제조방법.
  35. 원하는 패턴에 따라 투영빔을 패터닝하는 패터닝수단을 지지하기 위한 지지체구조;
    기판을 유지하기 위한 기판테이블;
    상기 기판의 표적부분에 패터닝된 빔을 투영하기 위한 투영시스템; 및
    장치의 구성요소의 표면으로부터 오염물을 제거하기 위한 제1항 내지 제19항 중 어느 한 항에 따른 하나 이상의 세정시스템을 포함하여 이루어지는 리소그래피투영장치.
KR1020030093948A 2002-12-20 2003-12-19 리소그래피 투영장치의 구성요소의 표면을 세정하는 방법, 리소그래피 투영장치, 디바이스 제조방법, 및 세정장치 KR100737759B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
DE2002161875 DE10261875A1 (de) 2002-12-20 2002-12-20 Verfahren zur Reinigung von Oberflächen
DE10261875.5 2002-12-20
EP02080488.6 2002-12-24
EP02080488 2002-12-24

Publications (2)

Publication Number Publication Date
KR20040055694A true KR20040055694A (ko) 2004-06-26
KR100737759B1 KR100737759B1 (ko) 2007-07-10

Family

ID=32826163

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030093948A KR100737759B1 (ko) 2002-12-20 2003-12-19 리소그래피 투영장치의 구성요소의 표면을 세정하는 방법, 리소그래피 투영장치, 디바이스 제조방법, 및 세정장치

Country Status (6)

Country Link
US (1) US7116394B2 (ko)
JP (2) JP3867918B2 (ko)
KR (1) KR100737759B1 (ko)
CN (1) CN1534381A (ko)
SG (1) SG121847A1 (ko)
TW (1) TWI251117B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100734596B1 (ko) * 2004-08-17 2007-07-02 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조방법

Families Citing this family (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7217940B2 (en) * 2003-04-08 2007-05-15 Cymer, Inc. Collector for EUV light source
WO2005031838A1 (ja) * 2003-09-30 2005-04-07 Japan Aviation Electronics Industry Limited 固体表面の平坦化方法及びその装置
US20050186690A1 (en) * 2004-02-25 2005-08-25 Megic Corporation Method for improving semiconductor wafer test accuracy
US8075732B2 (en) * 2004-11-01 2011-12-13 Cymer, Inc. EUV collector debris management
US7248332B2 (en) * 2004-07-13 2007-07-24 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
US7385670B2 (en) * 2004-10-05 2008-06-10 Asml Netherlands B.V. Lithographic apparatus, cleaning system and cleaning method for in situ removing contamination from a component in a lithographic apparatus
US7868304B2 (en) * 2005-02-07 2011-01-11 Asml Netherlands B.V. Method for removal of deposition on an optical element, lithographic apparatus, device manufacturing method, and device manufactured thereby
KR101140755B1 (ko) 2005-02-10 2012-05-03 에이에스엠엘 네델란즈 비.브이. 침지 액체, 노광 장치, 및 노광 프로세스
US7279690B2 (en) * 2005-03-31 2007-10-09 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
WO2006123739A1 (ja) * 2005-05-20 2006-11-23 Japan Aviation Electronics Industry Limited 固体表面の平坦化方法及びその装置
US7750326B2 (en) * 2005-06-13 2010-07-06 Asml Netherlands B.V. Lithographic apparatus and cleaning method therefor
US7372058B2 (en) * 2005-09-27 2008-05-13 Asml Netherlands B.V. Ex-situ removal of deposition on an optical element
US7465943B2 (en) * 2005-12-08 2008-12-16 Asml Netherlands B.V. Controlling the flow through the collector during cleaning
US7462850B2 (en) * 2005-12-08 2008-12-09 Asml Netherlands B.V. Radical cleaning arrangement for a lithographic apparatus
US7405417B2 (en) * 2005-12-20 2008-07-29 Asml Netherlands B.V. Lithographic apparatus having a monitoring device for detecting contamination
KR100763532B1 (ko) * 2006-08-17 2007-10-05 삼성전자주식회사 웨이퍼 지지장치, 웨이퍼 노광 장치 및 웨이퍼 지지방법
ATE431575T1 (de) * 2006-08-28 2009-05-15 Imec Inter Uni Micro Electr Verfahren und system zur kontaminationsmessung bei einem lithografischen element
US8736151B2 (en) * 2006-09-26 2014-05-27 Velos Industries, LLC Electric generator
US7671347B2 (en) * 2006-10-10 2010-03-02 Asml Netherlands B.V. Cleaning method, apparatus and cleaning system
DE102006054726B4 (de) * 2006-11-21 2014-09-11 Asml Netherlands B.V. Verfahren zum Entfernen von Kontaminationen auf optischen Oberflächen und optische Anordnung
WO2008072641A1 (en) * 2006-12-08 2008-06-19 Canon Kabushiki Kaisha Exposure apparatus
US20080218709A1 (en) * 2007-03-07 2008-09-11 Asml Netherlands B.V. Removal of deposition on an element of a lithographic apparatus
US7973291B2 (en) * 2007-03-07 2011-07-05 Sharp Kabushiki Kaisha Electronic apparatus
JP2008277585A (ja) * 2007-04-27 2008-11-13 Canon Inc 露光装置の洗浄装置及び露光装置
JP5098019B2 (ja) * 2007-04-27 2012-12-12 ギガフォトン株式会社 極端紫外光源装置
US7671348B2 (en) * 2007-06-26 2010-03-02 Advanced Micro Devices, Inc. Hydrocarbon getter for lithographic exposure tools
DE102007033701A1 (de) * 2007-07-14 2009-01-22 Xtreme Technologies Gmbh Verfahren und Anordnung zur Reinigung von optischen Oberflächen in plasmabasierten Strahlungsquellen
DE102008041628A1 (de) * 2007-09-14 2009-03-19 Carl Zeiss Smt Ag Verfahren zur Reinigung von Vakuumkammern und Vakuumkammer
JP5099793B2 (ja) * 2007-11-06 2012-12-19 カール・ツァイス・エスエムティー・ゲーエムベーハー 光学面から汚染層を除去するための方法、洗浄ガスを生成するための方法、ならびに対応する洗浄および洗浄ガス生成の構造
DE102008000709B3 (de) * 2008-03-17 2009-11-26 Carl Zeiss Smt Ag Reinigungsmodul, EUV-Lithographievorrichtung und Verfahren zu seiner Reinigung
NL1036769A1 (nl) * 2008-04-23 2009-10-26 Asml Netherlands Bv Lithographic apparatus, device manufacturing method, cleaning system and method for cleaning a patterning device.
JP5559562B2 (ja) * 2009-02-12 2014-07-23 ギガフォトン株式会社 極端紫外光光源装置
KR20110092837A (ko) * 2010-02-10 2011-08-18 고려대학교 산학협력단 포토레지스트 패턴의 제거 방법
WO2012014278A1 (ja) * 2010-07-27 2012-02-02 株式会社ユーテック ポーリング処理方法、プラズマポーリング装置、圧電体及びその製造方法
US8399868B2 (en) * 2011-02-15 2013-03-19 Sematech Inc. Tools, methods and devices for mitigating extreme ultraviolet optics contamination
DE102011007472A1 (de) * 2011-04-15 2012-10-18 Aktiebolaget Skf Vorrichtung und Verfahren zum Reinigen einer Oberfläche
JP5302450B2 (ja) * 2012-09-20 2013-10-02 カール・ツァイス・エスエムティー・ゲーエムベーハー 光学面から汚染層を除去するための方法、洗浄ガスを生成するための方法、ならびに対応する洗浄および洗浄ガス生成の構造
CN102974565A (zh) * 2012-12-12 2013-03-20 天津中环领先材料技术有限公司 一种单晶硅晶圆抛光片的清洗方法
EP2959263B1 (en) * 2013-02-25 2022-12-07 Kla-Tencor Corporation Methods and apparatus for cleaning objects in a chamber of an optical instrument by generating reactive ions using photon radiation
US9810991B2 (en) * 2013-12-23 2017-11-07 Kla-Tencor Corporation System and method for cleaning EUV optical elements
US9539622B2 (en) * 2014-03-18 2017-01-10 Asml Netherlands B.V. Apparatus for and method of active cleaning of EUV optic with RF plasma field
TWI633612B (zh) * 2014-06-19 2018-08-21 蘭姆研究股份公司 用以與處理晶圓狀物件用之設備一起使用的收集器
KR102346227B1 (ko) 2014-11-19 2021-12-31 삼성전자주식회사 극자외선 광 생성 장치, 시스템 및 극자외선 광 생성 장치의 사용 방법
CN106249550B (zh) * 2015-12-21 2018-07-06 中国科学院长春光学精密机械与物理研究所 一种极紫外光学元件表面污染层厚度控制方法及装置
US9981293B2 (en) 2016-04-21 2018-05-29 Mapper Lithography Ip B.V. Method and system for the removal and/or avoidance of contamination in charged particle beam systems
US10520805B2 (en) * 2016-07-29 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for localized EUV pellicle glue removal
WO2019086221A1 (en) 2017-10-31 2019-05-09 Asml Netherlands B.V. Metrology apparatus, method of measuring a structure, device manufacturing method
EP3506011A1 (en) * 2017-12-28 2019-07-03 ASML Netherlands B.V. Apparatus for and a method of removing contaminant particles from a component of a metrology apparatus
CN111512238B (zh) * 2017-12-28 2024-01-30 Asml荷兰有限公司 从设备部件中移除污染物颗粒的设备和方法
CN110560424A (zh) * 2019-09-18 2019-12-13 宁波南大光电材料有限公司 一种用于光刻设备的非接触式超声清洗装置
US11579539B2 (en) * 2021-03-03 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for improving critical dimension variation
CN113828583A (zh) * 2021-09-17 2021-12-24 西安理工大学 一种冷水系统中均压电极的表面除垢装置及除垢方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4665315A (en) 1985-04-01 1987-05-12 Control Data Corporation Method and apparatus for in-situ plasma cleaning of electron beam optical systems
JP3137682B2 (ja) * 1991-08-12 2001-02-26 株式会社日立製作所 半導体装置の製造方法
US5217510A (en) * 1991-10-18 1993-06-08 The United States Of America As Represented By The United States Department Of Energy Apparatus for preventing particle deposition from process streams on optical access windows
US5401974A (en) * 1993-03-18 1995-03-28 Fujitsu Limited Charged particle beam exposure apparatus and method of cleaning the same
KR0141659B1 (ko) * 1993-07-19 1998-07-15 가나이 쓰토무 이물제거 방법 및 장치
US5796111A (en) * 1995-10-30 1998-08-18 Phrasor Scientific, Inc. Apparatus for cleaning contaminated surfaces using energetic cluster beams
US6268904B1 (en) * 1997-04-23 2001-07-31 Nikon Corporation Optical exposure apparatus and photo-cleaning method
US6192897B1 (en) 1999-01-27 2001-02-27 Euv Llc Apparatus and method for in-situ cleaning of resist outgassing windows
JP3338001B2 (ja) * 1999-05-26 2002-10-28 株式会社ヤスダコーポレーション 髪止め具
US20020053353A1 (en) * 2000-03-13 2002-05-09 Shintaro Kawata Methods and apparatus for cleaning an object using an electron beam, and device-fabrication apparatus comprising same
KR100563774B1 (ko) * 2000-08-25 2006-03-24 에이에스엠엘 네델란즈 비.브이. 마스크 조작장치, 리소그래피 투영장치, 디바이스제조방법 및 그것에 의하여 제조된 디바이스
US6614505B2 (en) * 2001-01-10 2003-09-02 Asml Netherlands B.V. Lithographic projection apparatus, device manufacturing method, and device manufactured thereby
JP4738610B2 (ja) * 2001-03-02 2011-08-03 株式会社トプコン 基板表面の汚染評価方法及び汚染評価装置と半導体装置の製造方法
US6772776B2 (en) * 2001-09-18 2004-08-10 Euv Llc Apparatus for in situ cleaning of carbon contaminated surfaces
US6642531B1 (en) * 2002-12-23 2003-11-04 Intel Corporation Contamination control on lithography components

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100734596B1 (ko) * 2004-08-17 2007-07-02 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 및 디바이스 제조방법

Also Published As

Publication number Publication date
JP3867918B2 (ja) 2007-01-17
TW200421016A (en) 2004-10-16
TWI251117B (en) 2006-03-11
US7116394B2 (en) 2006-10-03
JP2004207740A (ja) 2004-07-22
US20040218157A1 (en) 2004-11-04
CN1534381A (zh) 2004-10-06
JP2006165588A (ja) 2006-06-22
SG121847A1 (en) 2006-05-26
KR100737759B1 (ko) 2007-07-10

Similar Documents

Publication Publication Date Title
KR100737759B1 (ko) 리소그래피 투영장치의 구성요소의 표면을 세정하는 방법, 리소그래피 투영장치, 디바이스 제조방법, 및 세정장치
JP3696163B2 (ja) リソグラフィ投影装置、素子製造方法、およびそれによって製造される素子
US7315346B2 (en) Lithographic apparatus and device manufacturing method
JP3972207B2 (ja) デブリ抑制手段を備えたリソグラフィ装置およびデバイス製造方法
JP4898765B2 (ja) 光学素子における蒸着物の除去方法、光学素子の保護方法、デバイス製造方法、光学素子を含む装置、およびリソグラフィ装置
JP5535194B2 (ja) リソグラフィ装置、デバイス製造方法、クリーニングシステム、およびパターニングデバイスをクリーニングする方法
US7095479B2 (en) Lithographic apparatus, device manufacturing method and device manufactured thereby
JP4194831B2 (ja) デバイス製造方法
JP4067078B2 (ja) リソグラフィ投影装置およびデバイス製造方法
JP2009510714A (ja) リソグラフィ装置およびそのためのクリーニング方法
KR20040030262A (ko) 리소그래피 투영장치 및 상기 장치에 사용하기 위한파티클 배리어
JP7420726B2 (ja) リソグラフィ装置におけるインシチュ粒子除去のための装置及び方法
EP1429189B1 (en) Lithographic apparatus and device manufacturing method
JP4875753B2 (ja) EUV源におけるデブリ抑制用影つけ電極(shadowingelectrode)
JP5005748B2 (ja) 非接触洗浄のためのシステム、リソグラフィ装置、及びデバイス製造方法
JP4881444B2 (ja) プラズマ放射源、プラズマ放射源を形成する方法、基板上にパターニングデバイスからのパターンを投影するための装置、およびデバイス製造方法
EP1431828A1 (en) Method for cleaning a surface of a component of a lithographic projection apparatus, lithographic projection apparatus, device manufacturing method and cleaning system
JP2004289120A (ja) 二次電子除去装置を備えたリソグラフィ投影装置
JP4073904B2 (ja) 動的保護層をミラーに供給する方法及び装置
EP1329772B1 (en) Lithographic projection apparatus and device manufacturing method
CN114503034A (zh) 清洁装置、光刻设备、去除水或其它污染物的方法、和器件制造方法
JP4892523B2 (ja) 光学エレメントから堆積を除去する方法、リソグラフィ装置、およびデバイスを製造する方法
WO2013072154A1 (en) Radiation source and method for operating the same, lithographic apparatus comprising the radiation source, and device manufacturing method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E902 Notification of reason for refusal
B701 Decision to grant
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20110627

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee