KR20030087653A - 유전체 배리어 막을 이용한 다마신 공정 - Google Patents
유전체 배리어 막을 이용한 다마신 공정 Download PDFInfo
- Publication number
- KR20030087653A KR20030087653A KR10-2003-7012634A KR20037012634A KR20030087653A KR 20030087653 A KR20030087653 A KR 20030087653A KR 20037012634 A KR20037012634 A KR 20037012634A KR 20030087653 A KR20030087653 A KR 20030087653A
- Authority
- KR
- South Korea
- Prior art keywords
- dielectric
- barrier
- layer
- barrier layer
- dielectric layer
- Prior art date
Links
- 230000004888 barrier function Effects 0.000 title claims abstract description 140
- 239000010949 copper Substances 0.000 claims abstract description 34
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims abstract description 32
- 229910052802 copper Inorganic materials 0.000 claims abstract description 32
- 238000000034 method Methods 0.000 claims abstract description 30
- 229910052751 metal Inorganic materials 0.000 claims description 48
- 239000002184 metal Substances 0.000 claims description 48
- 239000000463 material Substances 0.000 claims description 38
- 230000009977 dual effect Effects 0.000 claims description 23
- 239000004065 semiconductor Substances 0.000 claims description 23
- 239000003989 dielectric material Substances 0.000 claims description 14
- 229910000881 Cu alloy Inorganic materials 0.000 claims description 11
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 11
- 238000005530 etching Methods 0.000 claims description 11
- 239000000758 substrate Substances 0.000 claims description 9
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 8
- 238000004519 manufacturing process Methods 0.000 claims description 7
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims description 6
- 229910052710 silicon Inorganic materials 0.000 claims description 6
- 239000010703 silicon Substances 0.000 claims description 6
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 claims description 6
- 229910010271 silicon carbide Inorganic materials 0.000 claims description 6
- 238000000151 deposition Methods 0.000 claims description 5
- 238000005229 chemical vapour deposition Methods 0.000 claims description 3
- 238000001465 metallisation Methods 0.000 abstract description 8
- 230000008569 process Effects 0.000 abstract description 4
- 239000010410 layer Substances 0.000 description 96
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 230000008901 benefit Effects 0.000 description 8
- 238000005516 engineering process Methods 0.000 description 7
- 229920003209 poly(hydridosilsesquioxane) Polymers 0.000 description 5
- 229910052721 tungsten Inorganic materials 0.000 description 5
- 239000010937 tungsten Substances 0.000 description 5
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 4
- -1 tungsten nitride Chemical class 0.000 description 4
- 230000008021 deposition Effects 0.000 description 3
- 239000011229 interlayer Substances 0.000 description 3
- 238000012986 modification Methods 0.000 description 3
- 230000004048 modification Effects 0.000 description 3
- 229920000620 organic polymer Polymers 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 229910052715 tantalum Inorganic materials 0.000 description 3
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 2
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 2
- 239000004642 Polyimide Substances 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 230000003071 parasitic effect Effects 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229920000412 polyarylene Polymers 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 239000011135 tin Substances 0.000 description 2
- 229910052718 tin Inorganic materials 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- DYZHZLQEGSYGDH-UHFFFAOYSA-N 7-bicyclo[4.2.0]octa-1,3,5-trienyl-[[7,8-bis(ethenyl)-7-bicyclo[4.2.0]octa-1,3,5-trienyl]oxy]silane Chemical compound C1C2=CC=CC=C2C1[SiH2]OC1(C=C)C2=CC=CC=C2C1C=C DYZHZLQEGSYGDH-UHFFFAOYSA-N 0.000 description 1
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 1
- FYYHWMGAXLPEAU-UHFFFAOYSA-N Magnesium Chemical compound [Mg] FYYHWMGAXLPEAU-UHFFFAOYSA-N 0.000 description 1
- 239000004698 Polyethylene Substances 0.000 description 1
- 239000004721 Polyphenylene oxide Substances 0.000 description 1
- 239000004743 Polypropylene Substances 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 229910008807 WSiN Inorganic materials 0.000 description 1
- HCHKCACWOHOZIP-UHFFFAOYSA-N Zinc Chemical compound [Zn] HCHKCACWOHOZIP-UHFFFAOYSA-N 0.000 description 1
- QCWXUUIWCKQGHC-UHFFFAOYSA-N Zirconium Chemical compound [Zr] QCWXUUIWCKQGHC-UHFFFAOYSA-N 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 150000004945 aromatic hydrocarbons Chemical class 0.000 description 1
- IVHJCRXBQPGLOV-UHFFFAOYSA-N azanylidynetungsten Chemical compound [W]#N IVHJCRXBQPGLOV-UHFFFAOYSA-N 0.000 description 1
- 239000010432 diamond Substances 0.000 description 1
- 238000004070 electrodeposition Methods 0.000 description 1
- 239000012776 electronic material Substances 0.000 description 1
- 238000005538 encapsulation Methods 0.000 description 1
- RTZKZFJDLAIYFH-UHFFFAOYSA-N ether Substances CCOCC RTZKZFJDLAIYFH-UHFFFAOYSA-N 0.000 description 1
- 150000002170 ethers Chemical class 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 229910052731 fluorine Inorganic materials 0.000 description 1
- 239000011737 fluorine Substances 0.000 description 1
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 1
- 229910052737 gold Inorganic materials 0.000 description 1
- 239000010931 gold Substances 0.000 description 1
- 229910052736 halogen Inorganic materials 0.000 description 1
- 150000002367 halogens Chemical class 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 229910052749 magnesium Inorganic materials 0.000 description 1
- 239000011777 magnesium Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 1
- 229910052759 nickel Inorganic materials 0.000 description 1
- 229910052763 palladium Inorganic materials 0.000 description 1
- 229920000052 poly(p-xylylene) Polymers 0.000 description 1
- 229920000573 polyethylene Polymers 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920006380 polyphenylene oxide Polymers 0.000 description 1
- 229920001155 polypropylene Polymers 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- HWEYZGSCHQNNEH-UHFFFAOYSA-N silicon tantalum Chemical compound [Si].[Ta] HWEYZGSCHQNNEH-UHFFFAOYSA-N 0.000 description 1
- WNUPENMBHHEARK-UHFFFAOYSA-N silicon tungsten Chemical compound [Si].[W] WNUPENMBHHEARK-UHFFFAOYSA-N 0.000 description 1
- 238000009751 slip forming Methods 0.000 description 1
- 238000004544 sputter deposition Methods 0.000 description 1
- NMJKIRUDPFBRHW-UHFFFAOYSA-N titanium Chemical compound [Ti].[Ti] NMJKIRUDPFBRHW-UHFFFAOYSA-N 0.000 description 1
- 229910052719 titanium Inorganic materials 0.000 description 1
- MAKDTFFYCIMFQP-UHFFFAOYSA-N titanium tungsten Chemical compound [Ti].[W] MAKDTFFYCIMFQP-UHFFFAOYSA-N 0.000 description 1
- 229910052725 zinc Inorganic materials 0.000 description 1
- 239000011701 zinc Substances 0.000 description 1
- 229910052726 zirconium Inorganic materials 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
- H01L21/76831—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76807—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L2924/00—Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
- H01L2924/0001—Technical content checked by a classifier
- H01L2924/0002—Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Abstract
다마신 공정은 개선된 표면 피복률과 감소된 접촉 저항을 위해 유전체 배리어 막(50, 90, 91)로 구현된다. 실시예들은 부정합 문제를 피하기 위해 두 다른 유전체 막(50, 31)의 사용을 포함한다. 실시예들은 구리 메탈리제이션(100)을 이용하는 다마신(100A, 100B) 공정을 더 포함한다.
Description
집적 회로의 배치가 계속하여 딥 서브미크론 레짐화 됨에 따라, 집적 기술에 직면된 문제는 수와 엄격성이 증가했다. ULSI 반도체 배선에 대한 조건은 좁은 도전라인들 사이에 최소한의 공간을 가진 조밀한 배치를 더욱 더 필요로한다. 약 0.13 미크론 이하의 디자인룰을 가진 반도체 디바이스의 제작은 용이하지 않다.
종래의 반도체 디바이스는 반도체 기판과, 전형적으로 도핑된 모노크리스탈린 실리콘(monocrystalline silicon)과, 그리고 복수의 연속적으로 형성된 인터레이어 유전체 및 도전 패턴을 포함한다. 인터와이어링 간격(interwiring spacing)에 의해 분리된 도전 라인들로 이루어진 복수의 도전 패턴과, 버스라인, 비트라인, 워드 라인, 로직 상호접속 라인과 같은 복수의 상호접속 라인을 포함하여 집적 회로가 형성된다. 전형적으로, 다른 레벨들 즉, 상측 레벨과 하측 레벨상의 도전 패턴은 비아 홀을 채우는 도전 플러그(conductive plug)에 의해 전기적으로 접속되고, 반면에 접속 홀을 채우는 도전 플러그는 소스/드레인 영역과 같은 반도체 기판상의 능동 영역과 전기적으로 접속된다. 도전 라인은 반도체 기판에 대하여 실질적으로 수평으로 연장되는 트렌치(trench)안에 형성된다. 피쳐 사이즈가 딥 서브미크론 레짐으로 축소될수록, 5개 레벨 이상의 메탈리제이션(metallization)으로 이루어지는 반도체 "칩"이 더욱 널리 사용되게 된다.
적어도 하나의 도전 피쳐로 이루어지는 패터닝된 도전층위에 전형적으로 인터레이어 유전체(interlayer dielectric: ILD)에 증착하고, 통상의 포토리소그래픽 기법 및 에칭 기법으로 ILD를 통해 개구를 형성하고, 도전 물질로 개구를 채움으로써 비아 홀을 채우는 도전 플러그가 형성된다. ILD 표면의 여분의 도전 물질 등은 전형적으로 화학적 기계적 연마(chemical-mechanical polishing: CMP)에 의해 제거된다. 이러한 방법 한가지가 다마신으로 공지되어, 기본적으로 ILD의 개구를 형성하는 단계와 금속으로 개구를 채우는 단계를 포함한다. 듀얼 다마신 기법(dual damascene technique)은 하측 컨택트(contact)부 또는 상측 트렌치부와 상호 연결되는 비아 홀부를 포함하는 개구를 형성하는 단계를 포함하고, 이 개구는 도전 라인과 동시에 도전 물질(전형적으로 금속)로 전기적 컨택트부에 도전 플러그를 형성하도록 채워진다.
구리(Cu) 및 구리 합금은 상호접속 메탈리제이션에 있어서 알루미늄(Al)에 대체적인 야금으로서 상당한 주목을 받아왔다. 구리는 비교적 저렴하고, 처리가 용이하며, 알루미늄보다 낮은 저항성을 갖는다. 게다가, 구리는 텅스텐(W)과 비교하여 개선된 전기적 특성을 가지므로, 도전 와이어링으로서 뿐만 아니라 도전 플러그로서 사용하기에 바람직한 금속이 된다. 그러나, 실리콘 디옥사이드(silicon dioxide)와 같은 유전 물질을 통해 구리가 확산하기 때문에, 구리상호접속 구조는 확산 배리어 층(diffusion barrier layer)에 의해 캡슐화되어야 한다. 전형적인 확산 배리어 물질들은 구리 캡슐화용 탄탈륨(Ta), 탄탈륨 니트라이드(TaN), 티타늄(Ti), 티타늄 니트라이드(TiN), 티타늄-텅스텐(TiW), 텅스텐(W), 텅스텐 니트라이드(WN), 티타늄-티타늄 니트라이드(Ti-TiN), 티타늄 실리콘 니트라이드(TiSiN), 텅스텐 실리콘 니트라이드(WSiN), 탄탈륨 실리콘 니트라이드(TaSiN) 및 실리콘 니트라이드를 포함한다. 구리를 캡슐화하는 그와 같은 배리어 물질은 구리와 ILD사이의 경계면에 한정되지 않고, 다른 금속과의 경계면에도 사용된다.
구리 상호접속 기술은 대체로 다마신 기법을 채용하여 구현되어 왔으며, 다마신 기법은, 예를 들면 테트라에틸 오소오실리케이트(tetraethyl orthosilicate: TEOS 혹은 실레인(silane)에서 얻어지는 실리콘 옥사이드 층과 같은 제1 유전체 층이나, 또는 4이하의 유전상수(진공을 나타내는 유전상수 1을 포함하여)를 가진 저유전상수 물질이, 그 위에 캡핑 층을 가진 하층 패턴(underlying pattern)(예를 들면, 실리콘 니트라이드 캡핑 층을 가진 구리 또는 구리 합금 패턴) 위에 형성된다. 그 다음, 배리어 층과 선택적인 시드층(seedlayer)이 증착되고, 전착(electrodeposition) 또는 무전해 증착(electroless deposition)에 의한 구리 증착이 후속된다.
다마신 기술(특히, 구리 메탈리제이션을 채용하는 다마신 기술)과 같은 종래의 상호접속 기술의 구현에서, 피쳐 사이즈가 계속하여 딥 서브미크론 레짐화됨에 따라 여러 문제들이 특히 중요해진다. 탄탈륨, 탄탈륨 니트라이드, 티타늄 니트라이드, 텅스텐 니트라이드, 텅스텐과 같은 종래의 금속 배리어 막의 사용은 여러 측면에서 문제가 있다. 이러한 금속 배리어 막은 구리, 알루미늄 또는 은보다 높은 전기적 저항성을 보인다. 게다가, 다양한 배리어 금속 막들(특히, 탄탈륨 및 탄탈륨 니트라이드), 즉 선택한 배리어 금속 층들은 스퍼터링(sputtering)과 같은 물리적 증기 증착(physical vapor deposition: PVD)을 사용하여서만 증착될 수 있다. 이러한 종래의 스퍼터링된 막들은 표면 피복률(step coverage)의 균등성이 떨어진다. 더욱이, 피쳐 사이즈가 감소됨에 따라, 일렉트로마이그레이션(electromigration)과 용량(capacitance)의 문제가 표면 피복률과 저항성 문제와 함께 중요해진다. 이는 또한 다층 상호접속 기술의 부정합 문제와 조정하기가 더욱 어려워진다.
따라서, 낮은 표면 피복률, 접속 저항, 일렉트로마이그레이션, 용량 및 부정합과 같은 피쳐 사이즈의 감소에 의해 발생되는 문제를 해결할 개선된 상호접속 기술(특히, 구리 다마신 기법)이 필요하다. 특히 약 0.13미크론 미만의 피쳐 사이즈를 가진, 매우 소형화된 회로에 대한 구리 다마신 공정을 위해 그러한 개선된 상호접속 기술이 필요하다.
본 발명은 배리어 막과 상호 접속 패턴을 가지는 반도체 디바이스에 관한 것이다. 구체적으로 본 발명은 딥 서브미크론 레짐(deep sub-micron regime)의 피쳐(feature)를 가지는 초대규모 집적 회로(ultra large-scale integrated circuit: ULSI) 디바이스에 관한 것이다.
도 1 내지 도 10은 본 발명의 실시예를 포함한 방법의 연속적인 단계를 개략적으로 도시한다. 도 1 내지 도 10에서, 같은 구조 및 요소들은 같은 참조 번호로 표시된다.
본 발명의 이점은 딥 서브미크론 레짐의 피쳐를 갖는 높은 신뢰도의 상호접속 패턴을 가진 반도체 디바이스를 갖는 것이다.
본 발명의 다른 이점은 딥 서브미크론 레짐의 피쳐를 갖는 높은 신뢰도의 상호접속 패턴을 포함한 반도체 디바이스를 제작하는 방법을 갖는 것이다.
본 발명의 또 다른 이점 및 특징들의 일부는 후술의 설명에서 기술되고, 또 일부는 후술의 실시예에 의해 당업자에게 명백해지거나 또는 본 발명의 실시에 의해 습득될 수 있을 것이다.
본 발명에 따르면, 전술한 이점 및 다른 이점들은 일부 반도체 디바이스를 제작하는 방법에 의해 달성되며, 그 방법은 기판을 덮는 제1 유전체 층을 형성하는 단계와; 상기 제1 유전체 층위에 제1 유전체 배리어 물질로 이루어지는 제1 배리어 층을 형성하는 단계와; 제1 유전체 층의 측면과 바닥부에 의해 경계가 정해지는 제1 개구를 형성하기 위해 에칭하는 단계와; 상기 제1 유전체 층을 덮는 상기 제1 배리어 층의 상부면과, 상기 제1 개구의 경계를 이루는 상기 제1 유전체 층의 측면과, 그리고 상기 개구의 바닥부 위에, 상기 제1 유전체 배리어 물질과 다른 제2 유전체 배리어 물질로 구성된 제2 배리어 층을 형성하는 단계와; 상기 제1 배리어 층이 끝나는 곳까지, 상기 제1 배리어 층의 상부면으로부터 상기 제2 배리어 층을 제거하고, 상기 제1 개구의 바닥부로부터 상기 제2 배리어 층을 제거하여, 상기 제1 개구의 경계를 이루는 상기 제1 유전체 층의 측면위에 라이너로서 상기 제2 배리어 층의 일부가 남도록, 상기 제1 배리어 층에 대하여 선택적으로 에칭하는 단계와; 그리고 하측 금속 피쳐(60)를 형성하도록 금속으로 상기 개구를 채우는 단계를 포함한다.
본 발명의 또 다른 양상인 반도체 디바이스는 제1 유전체 배리어 물질로 이루어진 제1 배리어 층을 그 위에 가지는 제1 유전체 층의 측면에 의해 경계가 정해지는 개구에 형성된, 구리 또는 구리 합금으로 이루어진 하측 금속 피쳐와; 상기 하측 금속 피쳐와 상기 제1 유전체 층의 사이에 있는 상기 제1 유전체 층의 측면 위의, 상기 제1 유전체 배리어 물질과 다른 제2 유전체 배리어 물질로 이루어진 제1 배리어 라이너를 포함하고, 상기 제1 배리어 라이너는 상기 제1 유전체 층의 상부면보다 아래의 길이까지 연장되는 상부면을 가지는 것을 특징으로 한다.
본 발명의 실시예는 하측 금속 피쳐에 전기적으로 접속되는 비아와 라인을 구비하는 듀얼 다마신 구조를 형성하도록 구현되는 듀얼 다마신 기법을 포함한다. 듀얼 다마신 구조는 듀얼 다마신 개구를 형성하고, 그 다음 듀얼 다마신 개구가 형성된 유전체 층들의 측면상에 유전체 라이너를 형성함으로써 구현된다. 채용되는 배리어 층들은 실리콘 니트라이드(silicon nitride), 실리콘 옥시니트라이드(silicon oxynitride), 실리콘 카바이드(silicon carbide)와 같은 다양한 유전 물질로부터 선택될 수 있고, 약 50Å 내지 500Å과 같은 적절한 두께로 증착될 수 있다. 본 발명의 실시예들은 구리 메탈리제이션을 구비하는 듀얼 다마신 구조를 더 포함한다.
본 발명의 부가적인 이점들은 본 발명을 실시를 위해 고려되는 최량의 형태의 도시를 위해 단순하게 기술되는 후술의 상세한 설명으로부터 당업자에게 자명해질 것이다. 이해되는 바와 같이, 본 발명의 범주에서 벗어남이 없이, 본 발명은 다른 실시예에 적용 가능하며, 명백하고 다양한 내용으로 다양한 수정도 가능할 것이다. 따라서, 도면과 설명들은 본 발명을 한정하는 것이 아니라 원리를 설명하는 것으로서 간주되어야 한다.
본 발명은 개선된 표면 피복률(step coverage), 감소된 접속 저항(contact resistance), 강화된 일렉트로마이그레이션 저항(electromigration resistance), 감소된 캐패시턴스 및 부정합 허용성(misalignment tolerance)를 지닌 상호접속 패턴(interconnection pattern)을 가진 반도체 디바이스의 형성을 가능케 한다. 이러한 목적들은 금속-함유 배리어 층 또는 금속 배리어 층을 대신하는 유전체 배리어 층의 전략적 사용에 의해 달성된다. 본 발명의 실시예에 따른 유전체 배리어 층은 화학 증기 증착(CVD)에 의해 증착되고, 이에 따라서 특히 종래의 스퍼터 증착된 금속 배리어 층과 비교하여 뛰어난 표면 피복률을 갖는 이점이 있다. 게다가, 유전체 배리어 층들은 종래의 금속 함유 배리어 층보다 뛰어난 균일성을 가지고 증착될 수 있다. 본 발명의 다양한 실시예를 구현하는데 있어서, 유전체 배리어 층들은 실리콘 니트라이드, 실리콘 카바이드 또는 실리콘 옥시니트라이드와 같은 적절한 유전체 배리어 물질로 구성될 수 있다. 본 발명의 실시예들은 또한 기생용량(parasitic capacitance)의 감소를 가능케 한다. 본 발명의 실시예에 따른 두 다른 유전체 배리어 층의 사용은 증가된 부정합에 대한 허용성을 제공한다.
본 발명의 다양한 실시예의 구현에 있어서, 유전체 층은 반도체 디바이스의 제조에 통상 채용되는 다양한 유전 물질, 특히 "저-k(low-k)" 유전 물질과 같이 낮은 유전상수(lower values of primitivity)를 갖는 유전 물질로 형성될 수 있다. "저-k" 물질이라는 표현은 진공의 유전상수 값이 1이라 할 때, 유전상수가 약3.9 미만인 물질을 의미한다. 본 발명의 실시예에 따른 사용을 위한 적절한 유전 물질은 HSQ(hydrogen silsesquioxane) 및 MSQ(methyl silsesquioxane)와 같은 유동성 옥사이드(flowable oxide)와, 그리고 전형적으로 약 2.0 내지 약 3.8의 유전상수를 가진 폴리(아릴렌) 에테르(poly(arylene) ether)인 FLARE 20™ 유전체(캘리포니아 서니베일의 Advanced Micromechanic Materials, Allied Signal로부터 입수가능)와, BCB(divinylsiloxane bis-benzocyclobutene)인 블랙-다이아몬드™ 유전체(캘리포니아 산타 클라라의 Applied Materials로부터 입수가능)과, BCB와 유사한 유기 중합체인 Silk™ 유전체(두 물질 모두 미시간 미드랜드의 Dow Chemical Co.로부터 입수가능)를 포함한다. 다른 적절한 저-k 유전체는 폴리(아릴렌)에테르(poly(arylene)ethers), 폴리(아릴렌)에테르 아졸 (poly(arylene)ether azoles), 파릴렌-N(parylene-N), 폴리이미드(polyimides), 폴리나프탈렌-N(polynapthalene-N), 폴리페닐-퀴녹살린(polyphenyl-quinoxlines: PPQ), 폴리페닐렌옥사이드(polyphenyleneoxide), 폴리에틸렌(polyethylene) 및 폴리프로필렌(polypropylene)을 포함한다. 본 발명의 실시예에서 사용하는데 적절한또 다른 유전 물질은 FOx™(HSQ-기반), XLK™(HSQ-기반), Silk™, 방향성 탄화수소 중합체(aromatic hydrocarbon polymer)(각각 미시간 미드랜드의 Dow Chemical Co.로부터 입수가능)와; Coral™, 탄소 도핑된 실리콘 옥사이드(carbon-doped silicon oxide)(캘리포니아 산호세의 Novellus System으로부터 입수가능)와; FLARE™, 유기 중합체, HOSP™, 하이브리드-시오록산-유기 중합체(hybrid-sioloxane-organic polymer), Nanoglass™, 노노포러스 실리카(nonoporous silica)(각각 Honeywell Electronic Materials로부터 입수가능)와; 그리고 TEOS(tetraethyl orthosilicate) 및 FSG(fluorine-doped silicate glass)로부터 유도된, 할로겐 도핑된(즉, 플루오르 도핑된) 실리콘 디옥사이드가 포함된다.
본 발명은 특히 다마신 기법을 수반하는 상호접속 기술에 적용가능하다. 따라서, 본 발명의 실시예들은 저-k 물질로 이루어진 층을 증착하는 단계와, 그리고 듀얼 다마신 기법을 포함하는 다마신 기법에 의해 저-k 유전체 층에 개구를 형성하는 단계를 포함한다. 저-k 유전체 층에 형성된 개구는, 상측 및 하측 금속 라인과 상호 접속하는 비아를 형성하기 위해 후속하여 구리 또는 구리 합금과 같은 금속으로 채워지는 비아 홀(via hole)이나, 혹은 구리 또는 구리 합금으로 채워져 제1 금속 층이 반도체 기판의 소스/드레인 영역과 전기적으로 접속되게 하는 컨택트 홀(contact hole)이 될 수 있다. 저-k 유전체 층의 개구는 또한 트렌치도 될 수 있고, 이러한 경우에 채워진 트렌치는 상호접속 라인을 형성한다. 또한, 개구는 듀얼 다마신 기법으로 형성될 수 있고, 라인과 통하는 비아/컨택트는 금속 증착에 의해동시적으로 형성된다.
구리 다마신 기법의 구현에 있어서, 전형적으로 배리어 층이 다마신 개구에 먼저 증착되고, 그 위에 시드층이 증착된다. 적절한 시드층들은 적절한 양의(예를 들면 약 0.3% 내지 약 12%) 마그네슘, 알루미늄, 아연, 지르코늄, 주석, 니켈, 팔라듐, 은 또는 금을 포함한다.
도 1 내지 도 10은 본 발명의 범주에 드는 실시예에 포함되는 연속적인 단계를 개략적으로 도시한 것이다. 도 1에 도시한, 반도체 기판(10)에 형성되는 능동 영역(active region)들은 얕은 트렌치 절연부(11)에 의해 절연되는 것으로 도시된다. 이러한 능동 영역들은 게이트 옥사이드 층(12A) 및 그 위의 게이트 전극(12B), 그리고 소스/드레인 영역(12C)으로 이루어진 트랜지스터(12)를 포함한다. 인터레이어 유전체(interlayer dielectric: ILD)가 기판 위에 형성되고, 실리콘 카바이드, 실리콘 옥시니트라이드 또는 실리콘 니트라이드와 같은 배리어 층(14)이 전형적으로 약 50Å 내지 약 500Å의 두께로 그 위에 형성된다. 도 2에 도시된 바와 같이, 플러그 개구(plug opening)들이 ILD(13)에 형성되고 텅스텐과 같은 금속으로 채워져서, 소스/드레인(12C) 영역과 연결되는 트랜지스터(12) 및 플러그(20)와 연결되는 플러그(21)을 형성한다.
도 3에 도시한, 유전체 층(30)이 ILD(13)를 덮는 배리어 층(14)위에 형성되고, 배리어 층(31)이 ILD(30)위에 형성되고, 그리고 트렌치와 같은 다마신 개구(32)가 유전체 층(30)의 측면에 의해 경계가 정해지는 유전체 층(30)안에 형성된다. 도 4와 같이, 그 다음 배리어 층(31)위에 유전체 배리어 층(40)이 개구(32)의 윤곽을 그리며 증착된다. 배리어 층(40)은 트렌치(32)의 모서리에 잘 밀착(high conformity)되며 라운딩된 모서리(40A)를 갖는다. 배리어 층(31)이 후속의 에칭동안 에치 저지 층(etch stop layer)으로서 기능하도록, 참조번호 40의 배리어 층(40)은 참조번호 31의 배리어 층(31)의 유전 물질과 다른 유전 물질로 이루어진다. 본 발명의 실시예에 따라 증착된 다양한 배리어 층들은 실리콘 니트라이드, 실리콘 옥시니트라이드 또는 실리콘 카바이드와 같은 물질로 이루어질 수 있다.
그 다음, 도 5에 도시된 바와 같이, 배리어 층(31)의 상부면과 트렌치(32)의 바닥부로부터 배리어 층(40)을 제거하고, 트렌치(32)의 경계를 이루는 유전체 층(30)의 측면(30A)상에 유전체 라이너(dielectric liner)(50)가 남도록, 이방성 에칭이 실시된다. 이방성 에칭 다음에, 참조번호 51로 표시되는 바와 같이, 유전체 라이너(50)의 상부면은 유전체 배리어 층(31)의 상부면보다 낮게 연장되도록 이방성 에칭된다. 전형적으로, 유전체 라이너(50)의 상부면과 유전체 배리어 층(31)의 상부면간의 간격은 약 50Å 내지 약500Å이다.
그 다음, 트렌치(32)는 금속으로 채워지고, 도 6에서와 같은 금속 라인(60)을 형성하도록 화학적 기계적 연마(CMP)가 후속된다. 본 발명의 실시예는 금속 라인(60)을 형성하는 전착(electrodepositing) 또는 무전해 증착(electrolessly deposited)된 구리를 포함한다.
다양한 유형의 듀얼 다마신 구조들이 본 발명의 실시예들에 의해 완전히 구현되며, 선 비아 후 트렌치(via first- trench last) 및 선 트렌치 후 비아(trench first- via last) 듀얼 다마신 기법을 포함한다. 금속 라인(60)위에 이루어진 듀얼다마신 공정이 도 7 내지 도 10에 예시된다. 도 7에 도시된 바와 같이, 그 다음, 유전체 배리어 층(31)의 유전체 배리어 물질과 다른 물질로 이루어진 유전체 배리어 층(70)이 증착된다. 그 다음, 그 사이에 유전체 배리어 층(72)을 가지고 참조번호 71 및 73의 유전체 층들이 증착되고, 유전체 층(73)위에 유전체 배리어 층(74)이 형성된다. 듀얼 다마신 구조는 통상의 선 비아 후 트렌치 기법 또는 통상의 선 트렌치 후 비아 기법으로 형성될 수 있다.
본 발명의 실시예들은 부정합에 대한 허용성을 제공하는 이점을 가진다. 도 7에 도시된 바와 같이, 비아 홀(75)의 바닥부가 일부는 금속 라인(60)의 상부면 위에 일부는 유전체 배리어 층(31)의 상부면 위에 형성되어 전략적으로 스파이킹을 방지할 수 있을 정도로, 비아 홀(75)은 하측 금속 피쳐(60)에 대하여 부정합된다. 따라서, 비아 홀(75)은 하측 금속 피쳐(60)의 사이즈에 맞게 연결되는데 필요한 간격을 초과하여 길이 "M"만큼 금속 피쳐(60)의 측면으로부터 튀어나온다.
그 다음에, 도 8에 도시된 바와 같이, 모서리(80A)가 라운딩된 유전체 배리어 층(80)이 유전체 층(74)의 상부면 위와 듀얼 다마신 개구의 윤곽을 그리도록 증착된다. 듀얼 다마신 개구를 위해 유전체 라이너를 형성하는 동안 유전체 배리어 층(74, 72 및 31)들이 에치 저지 층으로서 기능하도록, 유전체 층(80)은 유전체 배리어 층(74), 유전체 배리어 층(72) 및 유전체 배리어층(31)에 채용되는 유전 물질과 다른 유전 물질로 이루어진다. 그 다음, 유전체 배리어 층(74)의 상부면과, 비아 홀(75) 및 트렌치(76)사이의 유전체 배리어 층(72)의 상부면의 노출된 부분과, 비아 홀(75)의 바닥부로부터 유전체 층(80)을 제거하기 위한 이방성 에칭이 실시된다. 도 9에 결과적인 구조가 도시되고, 이 구조도 또한 유전체 층(71)의 측면상에 형성된 유전체 라이너(90)의 상부면과 유전체 배리어 층(72)의 상부면 사이의 약간의 간격(90A)(예를 들면, 약 50Å 내지 약 500Å)과, 유전체 라이너(91)의 상부면과 유전체 배리어 층(74)의 상부면 사이의 약간의 간격(91A)(예를 들면, 약 50Å 내지 약 500Å)을 포함한다. 다음에, 듀얼 다마신 개구는 구리와 같은 금속으로 채워지고, 그 다음 CMP가 실시되어 증착된 금속(100)의 상부면이 배리어 층(74)의 상부면과 실질적으로 동일평면이 된다. 다음에, 하측 금속 피쳐(60)에 전기적으로 연결되는 비아(100A)와 접속되는 금속 라인(100B)으로 이루어지는 메탈리제이션(100)을 캡슐화하도록 또 다른 유전체 배리어 층이나 캡핑(capping) 층(101)이 증착된다. 구리 메탈리제이션의 구현에서, 배리어 층과 시드층들이 증착된다.
본 발명의 실시예에 따라 형성되는 반도체 디바이스는 감소된 일렉트로마이그레이션, 감소된 기생 용량, 감소된 접속 저항을 보이는 신뢰도가 높은 상호 접속 패턴을 가지는 이점이 있다. 유전체 배리어 층의 사용은 균등한 표면 피복률을 갖도록 하고 높은 부정합 허용성을 제공한다.
본 발명은 다양한 유형의 반도체 디바이스를 제작에 있어 산업상 이용가능성을 갖는다. 본 발명은 특히 딥 서브 미크론 레짐의 디자인 피쳐를 가지는 고속 회로를 구비한 반도체 디바이스의 제작에 적용가능하다.
전술한 상세한 설명에서, 본 발명은 특별히 그 예시적인 실시예에 관하여 기술되었다. 그러나, 이는 청구항에 기술된 본 발명의 넓은 정신과 범주 내에서 다양한 수정과 변형이 가능함이 명백하다. 따라서 명세서와 도면은 본 발명을 한정하는것이 아니라, 설명하기 위한 것으로 간주되어야 한다. 본 발명은 다양한 다른 조합 및 환경을 사용할 수 있고, 본 명세서에 개시된 발명의 개념의 범주 내에서 변경과 수정이 가능하다.
Claims (10)
- 반도체 디바이스를 제조하는 방법으로서:기판(10)을 덮는 제1 유전체 층(30)을 형성하는 단계와;상기 제1 유전체 층(30)위에 제1 유전체 배리어 물질로 구성되는 제1 배리어 층(31)을 형성하는 단계와;상기 제1 유전층(30)의 측면과 바닥부에 의해 경계가 정해지는 제1 개구를 형성하기 위해 에칭하는 단계와;상기 제1 유전체 층(30)을 덮는 상기 제1 배리어 층의 상부면과, 상기 제1 개구의 경계를 이루는 상기 제1 유전체 층의 측면과, 그리고 상기 개구의 바닥부 위에, 상기 제1 유전체 배리어 물질(31)과 다른 제2 유전체 배리어 물질로 구성된 제2 배리어 층(40)을 형성하는 단계와;상기 제1 배리어 층이 끝나는 곳까지, 상기 제1 배리어 층의 상부면으로부터 상기 제2 배리어 층을 제거하고, 상기 제1 개구의 바닥부로부터 상기 제2 배리어 층을 제거하여, 상기 제1 개구(32)의 경계를 이루는 상기 제1 유전체 층(30)의 측면(30A)상에 라이너(50)로서 상기 제2 배리어 층의 일부가 남도록, 상기 제1 배리어 층에 대하여 선택적으로 에칭하는 단계와; 그리고하측 금속 피쳐(60)를 형성하도록 금속으로 상기 개구를 채우는 단계를 포함하는 것을 특징으로 하는 방법.
- 제 1항에 있어서,상기 제1 유전체 배리어 물질(31) 및 상기 제2 유전체 배리어 물질(40)은 실리콘 니트라이드, 실리콘 옥시니트라이드 및 실리콘 카바이드로 구성된 그룹으로부터 선택되고,상기 각각의 제1 및 제2 배리어 층들은 화학 증기 증착에 의해 증착되는 것을 특징으로 하는 방법.
- 제 1항에 있어서,상기 개구(32)를 구리(Cu) 또는 구리 합금(60)으로 채우는 것을 특징으로 하는 방법.
- 제 1항에 있어서,상기 제1 배리어 층(31)과 상기 하측 금속 피쳐(60)의 상부면 위에, 상기 제1 유전체 배리어 물질과 다른 제3 유전체 배리어 물질로 구성되는 제3 배리어 층(70)을 형성하는 단계와;상기 제3 배리어 층(70)위에 제2 유전체 층(71)을 형성하는 단계와;상기 제2 유전체 층(73)위에, 제4 유전체 배리어 물질로 구성되는 제4 배리어 층(72)을 형성하는 단계와;상기 제4 배리어 층(72)위에 제3 유전체 층(73)을 형성하는 단계와;상기 제3 유전체 층(73)위에 제5 유전체 배리어 물질로 구성되는 제5 배리어층(74)을 형성하는 단계와;상기 제2 유전체 층(71)의 측면(71A) 및 적어도 일부분의 상기 하측 금속 피쳐(60)의 상부면 상의 바닥부에 의해 경계가 정해지는 하측 비아 홀(75)과 통하여, 상기 제3 유전체 층(73)의 측면(73A)에 의해 경계가 정해지는 상부 트렌치부(76)를 포함하는 듀얼 다마신 개구를 형성하도록 에칭하는 단계와;상기 제3 유전체 층(73)을 덮는 상기 제5 배리어 층(74)과, 상기 트렌치(76)의 경계를 이루는 상기 제3 유전체 층의 측면(73A)과, 상기 비아 홀(75)의 경계를 이루는 상기 제2 유전체 층(71)의 측면(71A)과, 상기 트렌치(76)와 상기 비아 홀(75)사이의 상기 제4 배리어 층(72)의 일부분과 그리고 상기 비아 홀의 바닥부 위에, 상기 제1(31), 제4(72) 및 제5(74) 유전 물질과 다른 제6 유전체 배리어 물질로 구성된 제 6 배리어 층(80)을 형성하는 단계와;상기 제5 배리어 층이 끝나는 곳까지, 상기 제5 배리어 층으로부터 상기 제6 배리어 층을 제거하고, 상기 제4 배리어 층이 끝나는 곳까지 상기 제4 배리어 층으로부터 상기 제6 배리어 층을 제거하고, 그리고 상기 비아 홀의 바닥부의 제6 배리어 층을 제거하여, 상기 트렌치의 경계를 이루는 상기 제3 유전체 층(73)의 측면(73A)과 상기 비아 홀(75)의 경계를 이루는 상기 제2 유전체 층(71)의 측면(71A)위에 라이너(91, 90)로서 제6 배리어 층의 부분이 남도록 에칭하는 단계와; 그리고하층 금속 비아(100A)에 연결되는 금속 라인(100B)을 형성하도록 금속으로 상기 듀얼 다마신 개구를 채우는 단계를 포함하는 방법.
- 제 4항에 있어서,전기적으로 하측 금속 피쳐(60)와 접속된 구리 또는 구리 비아(100A)에 연결되는 구리 또는 구리 합금 라인(100B)을 형성하도록, 구리 또는 구리 합금(10C)으로 상기 듀얼 다마신 개구를 채우는 것을 특징으로 하는 방법.
- 제 4항에 있어서,상기 제6 배리어 층(74)의 상부면과 상기 금속 라인(100B)의 상부면 위에, 상기 제7 유전체 배리어 금속을 포함하는 제7 배리어 층(101)을 증착하는 단계를 더 포함하는 것을 특징으로 하는 방법.
- 제1 유전체 배리어 물질로 이루어진 제1 배리어 층(31)을 그 위에 가지는 제1 유전체 층(30)의 측면(30A)에 의해 경계가 정해지는 개구에 형성된, 구리 또는 구리 합금으로 이루어진 하측 금속 피쳐(60)와;상기 하측 금속 피쳐(60)와 상기 제1 유전체 층(30)의 사이에 있는 상기 제1 유전체 층의 측면(30A) 위의, 상기 제1 유전체 배리어 물질(31)과 다른 제2 유전체 배리어 물질로 이루어진 제1 배리어 라이너(50)를 포함하고,상기 제1 배리어 라이너(50)는 상기 제1 유전체 층(30)의 상부면보다 아래의 길이까지 연장되는 상부면을 가지는 것을 특징으로 하는 반도체 디바이스.
- 제 7항에 있어서,상기 제1 유전체 층(30)을 덮는 상기 제1 배리어 층(31)위에, 상기 제1 유전체 배리어 물질과 다른 제3 유전체 배리어 물질로 이루어진 제2 배리어 층(70)과; 그리고상기 하측 금속 피쳐(60)위에 형성되어 이에 전기적으로 접속되는 듀얼 다마신 구조를 더 포함하고, 상기 듀얼 다마신 구조는:상기 제2 배리어 층(70)위의 제2 유전체 층(71)과;상기 제2 유전체 층(71)위의 제4 유전체 배리어 물질로 이루어진 제3 배리어 층(72)과;상기 제3 배리어 층(72)위의 제3 유전체 층(73)과;상기 제3 유전체 층(73)위의 제5 유전체 배리어 층 물질로 이루어진 제4 배리어 층(74)과;상기 제2 유전체 층(71)의 측면(71A)과 상기 하측 금속 피쳐(60)의 적어도 일부의 상부면에 의해 경계가 정해지는 비아 홀(75)과 접속되는, 상기 제3 유전체 층(73)의 측면(73A)에 의해 경계가 정해지는 트렌치(76)로 이루어진 듀얼 다마신 개구와;상기 비아 홀(75)의 경계를 이루는 상기 제2 유전체 층(71)과 상기 트렌치(76)의 경계를 이루는 상기 제3 유전체 층(73)의 측면(73A)위의, 제1, 제4 및 제5 유전체 배리어 물질과 다른 제6 유전체 배리어 물질로 이루어진 제2 배리어 라이너(90, 91)와; 그리고듀얼 다마신 개구를 채워서, 상기 하측 금속 피쳐(60)에 전기적으로 접속되는 상기 제2 유전체 층(71)의 비아(100A)에 접속되는 상기 제3 유전체층(73)의 구리 또는 구리 합금 라인을 이루는 구리 또는 구리 합금(100)을 포함하는 것을 특징으로 하는 반도체 디바이스.
- 제 8항에 있어서,상기 제2 유전체 층(71)의 측면(71A)위의 상기 제2 배리어 라이너의 상부면이 상기 제3 배리어 층(72)의 상부면보다 아래의 길이까지 연장되고; 그리고상기 제3 유전체 층(73)의 측면(73A)위의 상기 제2 배리어 라이너(91)의 상부면이 상기 제4 배리어 층(74)의 상부면보다 아래의 길이까지 연장되는 것을 특징으로 하는 반도체 디바이스.
- 제 8항에 있어서,상기 제1(30), 제2(50), 제3(70), 제4(72), 제5(74) 및 제6(90, 91) 유전체 배리어 물질들은 실리콘 니트라이드, 실리콘 카바이드 및 실리콘 옥시니트라이드로 이루어진 그룹으로부터 선택되는 것을 특징으로 하는 반도체 디바이스.
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/817,056 | 2001-03-27 | ||
US09/817,056 US7132363B2 (en) | 2001-03-27 | 2001-03-27 | Stabilizing fluorine etching of low-k materials |
PCT/US2001/049825 WO2002078060A2 (en) | 2001-03-27 | 2001-12-19 | Damascene processing using dielectric barrier films |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20030087653A true KR20030087653A (ko) | 2003-11-14 |
KR100774601B1 KR100774601B1 (ko) | 2007-11-09 |
Family
ID=25222264
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020037012634A KR100774601B1 (ko) | 2001-03-27 | 2001-12-19 | 유전체 배리어 막을 이용한 다마신 공정 |
Country Status (8)
Country | Link |
---|---|
US (2) | US7132363B2 (ko) |
EP (1) | EP1374300A2 (ko) |
JP (1) | JP2004527909A (ko) |
KR (1) | KR100774601B1 (ko) |
CN (1) | CN100449730C (ko) |
AU (1) | AU2002249838A1 (ko) |
TW (1) | TW533535B (ko) |
WO (1) | WO2002078060A2 (ko) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100735482B1 (ko) * | 2006-08-29 | 2007-07-03 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조방법 |
KR100744420B1 (ko) * | 2006-08-29 | 2007-07-30 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조방법 |
Families Citing this family (47)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4368498B2 (ja) * | 2000-05-16 | 2009-11-18 | Necエレクトロニクス株式会社 | 半導体装置、半導体ウェーハおよびこれらの製造方法 |
US7687917B2 (en) * | 2002-05-08 | 2010-03-30 | Nec Electronics Corporation | Single damascene structure semiconductor device having silicon-diffused metal wiring layer |
US7547635B2 (en) * | 2002-06-14 | 2009-06-16 | Lam Research Corporation | Process for etching dielectric films with improved resist and/or etch profile characteristics |
US6939800B1 (en) * | 2002-12-16 | 2005-09-06 | Lsi Logic Corporation | Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures |
WO2004107434A1 (ja) * | 2003-05-29 | 2004-12-09 | Nec Corporation | 配線構造およびその製造方法 |
US7151315B2 (en) * | 2003-06-11 | 2006-12-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of a non-metal barrier copper damascene integration |
KR20050070794A (ko) * | 2003-12-31 | 2005-07-07 | 동부아남반도체 주식회사 | 반도체 소자의 금속배선 형성방법 |
US7169698B2 (en) * | 2004-01-14 | 2007-01-30 | International Business Machines Corporation | Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner |
JP2008511711A (ja) * | 2004-08-31 | 2008-04-17 | シレクス オサケユキチュア | 新規ポリオルガノシロキサン誘電体 |
US7390739B2 (en) * | 2005-05-18 | 2008-06-24 | Lazovsky David E | Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region |
US20060244151A1 (en) * | 2005-05-02 | 2006-11-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Oblique recess for interconnecting conductors in a semiconductor device |
US7480990B2 (en) * | 2006-01-06 | 2009-01-27 | International Business Machines Corporation | Method of making conductor contacts having enhanced reliability |
JP4741965B2 (ja) * | 2006-03-23 | 2011-08-10 | ルネサスエレクトロニクス株式会社 | 半導体装置およびその製造方法 |
US7649239B2 (en) * | 2006-05-04 | 2010-01-19 | Intel Corporation | Dielectric spacers for metal interconnects and method to form the same |
US7517804B2 (en) * | 2006-08-31 | 2009-04-14 | Micron Technologies, Inc. | Selective etch chemistries for forming high aspect ratio features and associated structures |
US7772702B2 (en) * | 2006-09-21 | 2010-08-10 | Intel Corporation | Dielectric spacers for metal interconnects and method to form the same |
US7585758B2 (en) * | 2006-11-06 | 2009-09-08 | International Business Machines Corporation | Interconnect layers without electromigration |
KR100853098B1 (ko) * | 2006-12-27 | 2008-08-19 | 동부일렉트로닉스 주식회사 | 반도체 소자의 금속 배선 및 이의 제조 방법 |
US8247322B2 (en) | 2007-03-01 | 2012-08-21 | Taiwan Semiconductor Manufacturing Co., Ltd. | Via/contact and damascene structures and manufacturing methods thereof |
CN101752298B (zh) * | 2008-12-09 | 2011-10-05 | 中芯国际集成电路制造(上海)有限公司 | 金属互连结构的制造方法 |
US9960110B2 (en) | 2011-12-30 | 2018-05-01 | Intel Corporation | Self-enclosed asymmetric interconnect structures |
US8772938B2 (en) | 2012-12-04 | 2014-07-08 | Intel Corporation | Semiconductor interconnect structures |
US8871639B2 (en) * | 2013-01-04 | 2014-10-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US9412866B2 (en) * | 2013-06-24 | 2016-08-09 | Taiwan Semiconductor Manufacturing Co., Ltd. | BEOL selectivity stress film |
CN105097656B (zh) * | 2014-05-08 | 2018-05-04 | 中芯国际集成电路制造(上海)有限公司 | 一种半导体器件及其制作方法、电子装置 |
US9893184B2 (en) * | 2015-12-15 | 2018-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin-type field effect transistor device and method of fabricating the same |
US10163649B2 (en) * | 2015-12-17 | 2018-12-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor structure and manufacturing method thereof |
US9859156B2 (en) * | 2015-12-30 | 2018-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnection structure with sidewall dielectric protection layer |
US10510598B2 (en) | 2016-11-29 | 2019-12-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned spacers and method forming same |
DE102017118475B4 (de) * | 2016-11-29 | 2022-08-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selbstjustierte abstandshalter und verfahren zu deren herstellung |
US10598285B2 (en) | 2017-03-30 | 2020-03-24 | Quest Engines, LLC | Piston sealing system |
US10526953B2 (en) | 2017-03-30 | 2020-01-07 | Quest Engines, LLC | Internal combustion engine |
US11041456B2 (en) | 2017-03-30 | 2021-06-22 | Quest Engines, LLC | Internal combustion engine |
US10590813B2 (en) | 2017-03-30 | 2020-03-17 | Quest Engines, LLC | Internal combustion engine |
US10465629B2 (en) | 2017-03-30 | 2019-11-05 | Quest Engines, LLC | Internal combustion engine having piston with deflector channels and complementary cylinder head |
US10590834B2 (en) | 2017-03-30 | 2020-03-17 | Quest Engines, LLC | Internal combustion engine |
US10989138B2 (en) | 2017-03-30 | 2021-04-27 | Quest Engines, LLC | Internal combustion engine |
US10753308B2 (en) | 2017-03-30 | 2020-08-25 | Quest Engines, LLC | Internal combustion engine |
JP6894981B2 (ja) | 2017-04-28 | 2021-06-30 | クエスト エンジンズ,エルエルシー | 可変容積室デバイス |
WO2018204684A1 (en) | 2017-05-04 | 2018-11-08 | Quest Engines, LLC | Variable volume chamber for interaction with a fluid |
US20190109090A1 (en) * | 2017-08-15 | 2019-04-11 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnection structure lined by isolation layer |
US11060636B2 (en) | 2017-09-29 | 2021-07-13 | Quest Engines, LLC | Engines and pumps with motionless one-way valve |
US10950728B2 (en) * | 2017-11-16 | 2021-03-16 | Taiwan Semiconductor Manufacturing Co., Ltd. | Fin field effect transistor (FinFET) device structure with isolation layer and method for forming the same |
US11134335B2 (en) | 2018-01-26 | 2021-09-28 | Quest Engines, LLC | Audio source waveguide |
US10753267B2 (en) | 2018-01-26 | 2020-08-25 | Quest Engines, LLC | Method and apparatus for producing stratified streams |
US11398406B2 (en) * | 2018-09-28 | 2022-07-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Selective deposition of metal barrier in damascene processes |
CN114980477A (zh) * | 2021-02-18 | 2022-08-30 | 合肥鑫晟光电科技有限公司 | 背板、背光源、照明装置及显示装置 |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3297220B2 (ja) * | 1993-10-29 | 2002-07-02 | 株式会社東芝 | 半導体装置の製造方法および半導体装置 |
JPH09260492A (ja) * | 1996-03-25 | 1997-10-03 | Toshiba Corp | 半導体装置の製造方法 |
US5821168A (en) | 1997-07-16 | 1998-10-13 | Motorola, Inc. | Process for forming a semiconductor device |
JPH1154504A (ja) * | 1997-08-04 | 1999-02-26 | Sony Corp | 積層絶縁体膜の形成方法およびこれを用いた半導体装置 |
US6448655B1 (en) * | 1998-04-28 | 2002-09-10 | International Business Machines Corporation | Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation |
KR100278657B1 (ko) | 1998-06-24 | 2001-02-01 | 윤종용 | 반도체장치의금속배선구조및그제조방법 |
US6265779B1 (en) * | 1998-08-11 | 2001-07-24 | International Business Machines Corporation | Method and material for integration of fuorine-containing low-k dielectrics |
US5916823A (en) | 1998-10-13 | 1999-06-29 | Worldwide Semiconductor Manufacturing Corporation | Method for making dual damascene contact |
US6319815B1 (en) * | 1998-10-21 | 2001-11-20 | Tokyo Ohka Kogyo Co., Ltd. | Electric wiring forming method with use of embedding material |
US6417094B1 (en) | 1998-12-31 | 2002-07-09 | Newport Fab, Llc | Dual-damascene interconnect structures and methods of fabricating same |
US6333560B1 (en) * | 1999-01-14 | 2001-12-25 | International Business Machines Corporation | Process and structure for an interlock and high performance multilevel structures for chip interconnects and packaging technologies |
US6017817A (en) * | 1999-05-10 | 2000-01-25 | United Microelectronics Corp. | Method of fabricating dual damascene |
TW447050B (en) * | 1999-05-14 | 2001-07-21 | Ibm | Correction of metal damascene wiring topography using oxide fill and selective oxide chemical mechanical polishing with polish-stop layer |
FR2798512B1 (fr) * | 1999-09-14 | 2001-10-19 | Commissariat Energie Atomique | Procede de realisation d'une connexion en cuivre au travers d'une couche de materiau dielectrique d'un circuit integre |
US6040243A (en) | 1999-09-20 | 2000-03-21 | Chartered Semiconductor Manufacturing Ltd. | Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion |
US6221780B1 (en) * | 1999-09-29 | 2001-04-24 | International Business Machines Corporation | Dual damascene flowable oxide insulation structure and metallic barrier |
US20010051420A1 (en) | 2000-01-19 | 2001-12-13 | Besser Paul R. | Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch |
US6284657B1 (en) * | 2000-02-25 | 2001-09-04 | Chartered Semiconductor Manufacturing Ltd. | Non-metallic barrier formation for copper damascene type interconnects |
US6372636B1 (en) * | 2000-06-05 | 2002-04-16 | Chartered Semiconductor Manufacturing Ltd. | Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene |
US6683002B1 (en) * | 2000-08-10 | 2004-01-27 | Chartered Semiconductor Manufacturing Ltd. | Method to create a copper diffusion deterrent interface |
US6531407B1 (en) * | 2000-08-31 | 2003-03-11 | Micron Technology, Inc. | Method, structure and process flow to reduce line-line capacitance with low-K material |
US20020111013A1 (en) * | 2001-02-15 | 2002-08-15 | Okada Lynn A. | Method for formation of single inlaid structures |
US20020132471A1 (en) * | 2001-03-16 | 2002-09-19 | International Business Machines Corporation | High modulus film structure for enhanced electromigration resistance |
US6492270B1 (en) * | 2001-03-19 | 2002-12-10 | Taiwan Semiconductor Manufacturing Company | Method for forming copper dual damascene |
-
2001
- 2001-03-27 US US09/817,056 patent/US7132363B2/en not_active Expired - Fee Related
- 2001-12-19 AU AU2002249838A patent/AU2002249838A1/en not_active Abandoned
- 2001-12-19 WO PCT/US2001/049825 patent/WO2002078060A2/en active Application Filing
- 2001-12-19 JP JP2002575997A patent/JP2004527909A/ja active Pending
- 2001-12-19 CN CNB018230911A patent/CN100449730C/zh not_active Expired - Lifetime
- 2001-12-19 KR KR1020037012634A patent/KR100774601B1/ko not_active IP Right Cessation
- 2001-12-19 EP EP01998081A patent/EP1374300A2/en not_active Ceased
-
2002
- 2002-03-27 TW TW091105981A patent/TW533535B/zh not_active IP Right Cessation
-
2006
- 2006-10-24 US US11/585,215 patent/US20070035025A1/en not_active Abandoned
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100735482B1 (ko) * | 2006-08-29 | 2007-07-03 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조방법 |
KR100744420B1 (ko) * | 2006-08-29 | 2007-07-30 | 동부일렉트로닉스 주식회사 | 반도체 소자 및 그 제조방법 |
Also Published As
Publication number | Publication date |
---|---|
AU2002249838A1 (en) | 2002-10-08 |
KR100774601B1 (ko) | 2007-11-09 |
JP2004527909A (ja) | 2004-09-09 |
WO2002078060A2 (en) | 2002-10-03 |
US20020140101A1 (en) | 2002-10-03 |
WO2002078060A3 (en) | 2003-02-06 |
US20070035025A1 (en) | 2007-02-15 |
EP1374300A2 (en) | 2004-01-02 |
CN1575515A (zh) | 2005-02-02 |
CN100449730C (zh) | 2009-01-07 |
TW533535B (en) | 2003-05-21 |
US7132363B2 (en) | 2006-11-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100774601B1 (ko) | 유전체 배리어 막을 이용한 다마신 공정 | |
US6455409B1 (en) | Damascene processing using a silicon carbide hard mask | |
US6562416B2 (en) | Method of forming low resistance vias | |
US6037664A (en) | Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer | |
US7514354B2 (en) | Methods for forming damascene wiring structures having line and plug conductors formed from different materials | |
US6893959B2 (en) | Method to form selective cap layers on metal features with narrow spaces | |
US20060205204A1 (en) | Method of making a semiconductor interconnect with a metal cap | |
US6756297B2 (en) | Method of fabricating copper-based semiconductor devices using a sacrificial dielectric layer | |
US6429128B1 (en) | Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface | |
US6514860B1 (en) | Integration of organic fill for dual damascene process | |
US6465889B1 (en) | Silicon carbide barc in dual damascene processing | |
US7755194B1 (en) | Composite barrier layers with controlled copper interface surface roughness | |
US6429121B1 (en) | Method of fabricating dual damascene with silicon carbide via mask/ARC | |
US6506677B1 (en) | Method of forming capped copper interconnects with reduced hillock formation and improved electromigration resistance | |
US6689684B1 (en) | Cu damascene interconnections using barrier/capping layer | |
US6797652B1 (en) | Copper damascene with low-k capping layer and improved electromigration reliability | |
KR20040015789A (ko) | 이온 주입에 의한 측벽 밀도의 국부적 증가 | |
US6818557B1 (en) | Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance | |
US6664187B1 (en) | Laser thermal annealing for Cu seedlayer enhancement | |
US20070042588A1 (en) | Single damascene with disposable stencil and method therefore | |
US6713874B1 (en) | Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics | |
US6638849B2 (en) | Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer | |
US6576545B1 (en) | Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers | |
US20060118955A1 (en) | Robust copper interconnection structure and fabrication method thereof | |
US11916013B2 (en) | Via interconnects including super vias |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20121030 Year of fee payment: 6 |
|
FPAY | Annual fee payment |
Payment date: 20131017 Year of fee payment: 7 |
|
LAPS | Lapse due to unpaid annual fee |