CN100449730C - 利用电介质阻挡层实施金属镶嵌的方法 - Google Patents

利用电介质阻挡层实施金属镶嵌的方法 Download PDF

Info

Publication number
CN100449730C
CN100449730C CNB018230911A CN01823091A CN100449730C CN 100449730 C CN100449730 C CN 100449730C CN B018230911 A CNB018230911 A CN B018230911A CN 01823091 A CN01823091 A CN 01823091A CN 100449730 C CN100449730 C CN 100449730C
Authority
CN
China
Prior art keywords
dielectric
metal
layer
barrier layer
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB018230911A
Other languages
English (en)
Other versions
CN1575515A (zh
Inventor
杨凯
D·M·赫伯
王斐
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of CN1575515A publication Critical patent/CN1575515A/zh
Application granted granted Critical
Publication of CN100449730C publication Critical patent/CN100449730C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明通过电介质阻挡层(50,90,91)完成金属镶嵌过程以改善台阶覆盖并降低接触电阻。实施例包括利用两种不同的电介质层(50,31)避免定位不准的问题。实施例更进一步包括利用铜类金属物质(100)来完成双层金属镶嵌(100A,100B)的过程。

Description

利用电介质阻挡层实施金属镶嵌的方法
技术领域
本发明涉及以阻挡层构成内部连接(interconnection)结构的半导体装置。本发明特别适用于具有深亚微米领域(deep sub-micron regime)特征的超大规模集成电路(ULSI)装置。
背景技术
当集成电路的几何结构不断向深亚微米领域发展时,集成技术在数量及严格性上所面临的问题也随之增加。由于狭细导线间距离的最小化,使得超大规模集成电路半导体的布线需要越来越密集的配置。当采用约在0.13微米及以下的设计标准时,制造半导体装置的方法即成为问题了。
通常的半导体装置包括一个半导体基板,典型为掺杂单晶硅,以及多个连续形成的电介质间层及导电结构。集成电路包含有许多导电结构,该导电结构包括有由内部布线间距分隔的导电线路和许多内部连接的线路,例如,总线线、位线、字符线及逻辑互连线。典型地,位于不同层中的导电结构,如上层和下层,由导电栓填充导孔以形成电连接,此时导电栓销填充在导孔与半导体基板上的作用区建立电连接,例如源/漏极区域。典型地,导电线路是形成于相对于半导体基板实质上水平延伸的沟槽内。当特征尺寸(feature sizes)缩小至深亚微米领域,包含5层或更多层金属敷层的半导体″芯片″变得更流行起来。
典型地,填充导孔的导电栓是由电介质间层(interlayerdielectric,ILD)在包含至少一个导电特征的图案化的传导层上沉积形成的,利用传统的照像光刻技术通过该ILD形成开孔,并以导电材料填充该开孔。典型地,利用化学机械抛光(chemical-mechanicalpolishing,CMP)除去ILD表面积留的过多的导电材料。一种已知的镶嵌方法基本包含在ILD上形成开孔并以金属填充该开孔。双层金属镶嵌(Dual damascene)技术包含形成具有低接触或导孔部份的开孔以连通上方沟槽部份,该开孔以导电材料填充,典型为金属,同时形成导电栓以沟通传导线路的电连接。
在用于内部连接的金属敷层方面,铜及铜合金已经比铝(Al)获得更大的关注。铜相对而言便宜,易于加工,以及比铝的电阻要低。此外,铜通过钨(W)改良了其电特性,使得铜成为用作导电栓及导电线路的令人满意的金属。然而,由于铜会在电介质材料(如二氧化硅)上扩散,必须借助扩散阻挡层(barrier layer)将铜内部连接结构封于内部。典型的扩散阻挡材料包括钽(Ta)、氮化钽(TaN)、钛(Ti)、氮化钛(TiN)、钨化钛(TiW)、钨(W)、氮化钨(WN)、钛-氮化钛(Ti-TiN)、氮硅化钛(TiSiN)、氮硅化钨(WSiN)、氮硅化钽(TaSiN)、以及氮化硅(silicon nitrid)用以将铜封包其中。这类用来封包铜的阻挡材料的用途并不局限于铜与ILD的界面,同样地包括与其它金属的界面。
基本上,铜内部连接技术使用了镶嵌技术来实现,其中第一电介质层(如氧化硅层等)来自于四乙基原硅酸盐(TEOS)或硅烷化物或低电介质常数材料,亦即电介质常数不大于4(以真空表示电介质常数为1)的材料,形成在下层线路结构之上的盖层,例如带有氮化硅覆盖层的铜或铜合金线路结构。然后通过电沉积或非电沉积的方式进行铜沉积,以沉积出阻挡层和可选择的种晶层(seedlayer)。
常规的内部连接技术,例如金属镶嵌技术,尤其使用敷铜法时,当特征尺寸越来越进入深亚微米领域时,多方面的问题变得特别严重。例如,使用常规金属阻挡层,如钽、氮化钽、氮化钛、氮化钨、及钨,会因各种原因产生成问题。这些金属阻挡层的电阻都大于铜、铝或银。此外,各种不同的阻挡金属层,尤指选择的钽及氮化钽阻挡金属层,只能利用物理气相沉积(physical vapor deposition,PVD)技术,例如溅镀(sputter)来沉积。此种常规的溅镀层呈现出不良的共形台阶覆盖(conformal step coverage)。此外,随着特征尺寸的降低,则电子迁移及电容与台阶覆盖及电阻率问题将一起变得严重。使得于多层内部连接技术中调节定位误差也变得很困难。
因此,因为特征尺寸的减少而产生的问题,如不良的台阶覆盖、接触电阻率、电子迁移、电容及对位误差,导致改善内部连接技术的需求,特别是铜镶嵌技术。存在着特殊需求以改善对于铜镶嵌工艺中包含具有小于约0.13微米特征尺寸的超微型电路的内部连接技术。
发明内容
本发明的优点是提供一种深亚微米领域中具有高可靠性内部连接电路结构的半导体装置。
本发明的另一个优点是提供一种深亚微米领域中具有高可靠性内部连接电路结构的半导体装置制造方法。
本发明的其它优点及特征将在接下来的部分描述中提出,本发明及其实施例对于本领域的普通技术人员来讲,是明显易懂的。本发明的优点由权利要求的要点所特别指出加以了解或获得。
依据本发明,前述的及其它的优点通过一种半导体装置的制造方法部分地得以体现,该方法包含:在基板上形成第一电介质层;在该第一电介质层上,形成包含第一电介质阻挡材料的第一金属扩散阻挡层;利用蚀刻技术生成由第一电介质层侧表面及底部所界定的第一开孔;在第一电介质层上的第一阻挡层的上表面,在界定第一开孔的第一电介质层侧表面及第一开孔底部,形成包含第二电介质阻挡层材料的第二金属扩散阻挡层,其与第一电介质阻挡材料是不同的;选择性地蚀刻与第一阻挡层相关的第二阻挡层以移除该第二阻挡层,并停止于第一阻挡层上表面,并自第一开孔底部移除第二阻挡层,该第一开孔留下第二阻挡层的一部分以作为在限定第一开孔的第一电介质层侧表面的衬里,其中该衬里有一个上部表面延伸一段距离至该第一金属扩散阻挡层的上表面下方;以及用金属填充该开孔形成下部金属特征。
本发明的另一个方面是一种半导体装置,包含:铜或铜合金金属特征,形成具有第一阻挡层的第一电介质层侧表面所界定的开孔,该第一阻挡层包含其上的第一电介质阻挡材料;电介质衬里,包含不同于第一电介质阻挡材料的第二电介质阻挡材料,位于下部金属特征与第一电介质层之间,第一电介质层侧表面上,其中电介质衬里有一上部表面延伸至第一阻挡层上表面下方的一段距离。
本发明实施例包含双层金属镶嵌技术以执行形成具有传导线及导孔以电性连接至下部金属特征的双层金属镶嵌结构。该双层金属镶嵌结构是通过形成双层金属镶嵌开孔,然后在形成该双层金属镶嵌开孔的电介质层侧表面形成电介质衬里来完成的。所述阻挡层的使用,可在不同的电介质材料中选择,如氮化硅、氮氧化硅、及碳化硅,以及可以沉积至一适当厚度,如大约50至500埃。本发明实施例进一步包含铜金属的双层金属镶嵌结构。
对于本领域的普通技术人员,以下详细说明将使本发明的其它优点变得容易明白,其中以通过图示介绍实施本发明的最佳模式的方法对本发明的实施例做简单陈述。必须认识到,本发明适用于其它及不同的实施例,并且其多个细节能适用于不同的显而易见的修正,均不违反本发明。因此,附图及描述只是用于说明性本质而非用于限制。
附图说明
图1至图10概要性的说明了本发明的实施例所包含方法的连续阶段。在图1至图10中,相同的特征或单元以相同的参考数字表示
具体实施方式
本发明提供一种构成具有内部连接线路结构的半导体装置的方法,以改进台阶覆盖、降低接触电阻、加强电子迁移阻抗、减小电容及定位误差。这些目标的达成关键在于使用电介质阻挡层代替含金属的或金属的阻挡层。有益的是,依照本发明的实施例,电介质阻挡层由化学气相沉积法(CVD)沉积而成,因此,特别是对于常规溅镀方法沉积金属阻挡层,表现出较好的台阶覆盖。此外,电介质阻挡层能够比常规的含金属阻挡层更均匀地沉积。在本发明的不同的实施例中,电介质阻挡层可包含适当的电介质阻挡材料,例如氮化硅、碳化硅或氮氧化硅。此外,当金属阻挡层不沉积于导孔及传导线时,接触电阻明显由于使用电介质阻挡层而降低。进一步地,铜导孔/传导线的直接接触提高了电子迁移率。本发明的实施例也可降低寄生电容。有益有是,依照本发明的实施例,利用二种不同的电介质阻挡层增加了对定位误差的宽容度。
在本发明的不同实施例中,电介质层可由常规用于制造半导体装置的各种电介质材料所组成,特别指低初值(lower values of primitivity)电介质材料,如″低k″电介质材料。″低k″材料特别地表示电介质常数约小于3.9的材料(依据真空的之电介质常数值为1)。依照本发明的实施例所用的合适的电介质材料包括:可流动氧化物,如倍半硅氧烷氢(hydrogen silsesquioxane,HS Q)及倍半硅氧烷甲基(methylsilsesquioxane,MS Q),及不同的有机″低k″材料,典型上具有约2.0至3.8的电介质常数,例如FLARE 20TM电介质,一种聚(芳基)醚(poly(arylene)ethers),可获自Allied Signal,Advanced MicromechanicMaterials,桑尼维耳市,美国加利福尼亚州。Black-Diamond TM电介质,可获自Applied Materials,圣克拉拉市,美国加利福尼亚州。BCB(二乙烯硅氧烷双苯并环丁烷divinylsiloxane bis-benzocyclobutene)及SilkTM电介质,一种类似BCB有机聚合物,同时可由Dow Chemical公司,米德兰市,美国密歇根州得到。其它适合低k电介质包含聚(芳基)醚(poly(arylene)ethers)、聚(芳基)醚氮杂茂环系(poly(arylene)ethers azoles)、聚对亚苯基二甲基N(parylene-N)、聚硫亚氨(polyimides)、聚N(polynapthalene-N)、聚苯基对二氮(polyphenyl-quinoxalines)(PPQ)、聚亚苯基氧化物(polyphenyleneoxide)、聚乙烯(polyethylene)及聚丙烯(polypropylene)。其它适合用作本发明实施例之低k介电材料包含FOx TM(HSQ基)、XLKTM(HSQ基)、及SILK TM、芳香族碳氢化合物聚合物(aromatichydrocarbon polymer)(各别可获自Dow Chemical公司,米德兰市,美国密歇根州);Coral TM,碳掺杂硅氧化物(可由Novellus System,圣何塞市,美国加利福尼亚州获得);Flare TM,有机聚合物,HOSP TM,混合硅氧烷有机聚合物(hybrid-siloxane-organic polymer),及NanoglassTM,非多孔硅土(各别可获自Honeywell Electronic Materials);以及来自四乙基原硅酸盐(tetraethyl orthosilicate)(TEOS)和氟掺杂硅酸盐玻璃(fluorine-doped silicate glass)(FSG)之卤素掺杂(halogen-doped)(即氟掺杂fluorine-doped)二氧化硅。
本发明特别应用于包括金属镶嵌技术的内部连接技术。因此,本发明的实施例包含具有低k材料的沉积层,并通过金属镶嵌技术在低k电介质层形成一个开孔,也包括双层金属镶嵌技术。形成于低k电介质层的开孔可以成为随后填充如铜或铜合金之类金属的导孔,以形成在上层及下层金属传导线或导孔的内部连接,铜或铜合金被填充到导孔以建立在半导体基板上的源/漏极区域与第一金属层的电连接。在低k电介质层的开孔也可以是沟槽,在此情况下该沟槽被填充形成内部连接传导线。该开孔也可通过双层金属镶嵌技术形成,其中导孔/接触孔同时由金属沉积形成传导线连通。
在铜金属镶嵌技术的实现中,阻挡层最初典型沉积在金属镶嵌开孔及在其上沉积的种晶层上。适当的种晶层包括含有适量(例如约0.3%到约12%)的镁、铝、锌、锆、锡、镍、钯、银或金的铜合金。
图1至图10概要性的说明了本发明的实施例所包含方法的连续阶段。在图1中,形成在半导体基板10的作用区由浅沟槽隔断11所隔开。此作用区包括带有氧化闸层12A和闸电极12B的晶体管12和源/漏极区域12C。电介质间层(ILD)13形成于基板上方,而例如碳化硅、氮氧化硅或氮化硅的阻挡层14形成在该ILD层13上,典型厚度为50到500埃。如图2所示,栓塞开孔形成于ILD13并填充例如钨之金属,以形成栓塞20连结至晶体管12及栓塞21连结至源/漏极区域12C。
在图3中,形成在阻挡层14上方的电介质层30覆盖ILD13,阻挡层31形成于电介质层30之上,金属镶嵌开孔32,例如一个沟槽,形成于由电介质层30的侧表面30A所界定的电介质层30内。如图4所示,然后通过化学气相沉积法沉积电介质阻挡层40于阻挡层31上并给开孔32衬里。阻挡层40呈现出高度的一致性并于沟槽32的边角形成圆角40A。阻挡层40包含不同于电介质阻挡层31的电介质材料,此阻挡层31在随后的蚀刻中作为蚀刻停止层。依照本发明的实施例沉积的各种阻挡层可包含如氮化硅、氮氧化硅或碳化硅等材料。
如图5所示,接下来进行非等向性蚀刻,自阻挡层31上表面及沟槽32底部移除阻挡层40,沟槽32留下电介质衬里50于界定沟槽32的电介质层30侧表面30A上。非等向性蚀刻后,该电介质衬里50的上部表面可延伸到电介质阻挡层31的上表面下方,如数字51所示。典型地,电介质衬里50的上部表面与电介质阻挡层31上表面之间的距离大约为50至500埃。
如图6所示,然后在沟槽32填充金属并通过化学机械抛光技术(CMP)形成金属传导线60。本发明的实施例包括用电沉积或无电沉积铜形成金属传导线60。在这种情况下,典型地,阻挡层及种晶层会先于填充开孔32沉积。
本发明的实施例中包含不同类型的双层金属镶嵌结构。包括先沟槽后导孔,及先导孔后沟槽双层金属镶嵌技术。双层金属镶嵌的过程如如图7至图10所示,建立于金属传导线60上。如图7所示,然后沉积与电介质阻挡层31的电介质阻挡材料不同的电介质阻挡层70。接着于电介质层71和73之间沉积电介质阻挡层72,并于电介质层73上形成电介质阻挡层74。然后形成包含由电介质层73侧表面73A所界定的沟槽76及相连结由电介质层71侧表面71A所界定的导孔75的双层金属镶嵌开孔。该双层金属镶嵌结构可由常规的先沟槽后导孔或先导孔后沟槽技术形成。
有益的是,本发明的实施例提供了对定位误差的宽容度。如图7所示,导孔75相对于下部金属特征60对位错误,如此导孔75底部一部份形成于金属传导线60上表面,及一部份形成于电介质阻挡层31上表面以策略性的预防值(spiking)。因此,导孔75自金属特征60侧表面偏移的一段距离″M″,将超过下部金属特征60填充沉淀所需的距离。
如图8所示,接着沉积电介质阻挡层80以衬里于双层镶嵌开孔及电介质阻挡层74上表面,并形成圆角80A。电介质阻挡层80包含不同于电介质阻挡层74、电介质阻挡层72及电介质阻挡层31所用的电介质材料,如此在双层金属镶嵌开孔形成电介质衬里期间,电介质阻挡层74、72及31的作用如同蚀刻停止层。接着利用非等向性蚀刻自电介质阻挡层74上表面,及位于导孔75和沟槽76之间电介质阻挡层72的裸露上表面移除电介质层80的一部分,并自导孔75底部移除电介质层80的一部分。最后结构如图9所示,包含有一微小缺口90A位于形成在电介质层71侧表面的电介质衬里90上表面与电介质阻挡层72上表面之间,以及一微小缺口91A位于电介质衬里91上表面与电介质阻挡层74上表面之间,同样约是50到500埃。该双层金属镶嵌开孔接着以金属填充,例如铜,且通过CMP技术使沉积金属100上表面基本上与阻挡层74的上表面同平面。接着沉积另一电介质阻挡层或顶盖层101,用以将包含金属传导线100B连接导孔100A以电性连结至下部金属特征60的金属化物质100包封在内。在完成敷铜时须先沉积阻挡层及种晶层。
有益的是,依照本发明的实施例加工的半导体装置,具有高可靠性的内部连接线路结构,表现为降低的电子迁移,降低的寄生电容及降低的接触电阻率。通过电介质阻挡层使台阶覆盖一致并提供较大的定位误差宽容度。
本发明在制造不同类型的半导体装置具有工业实用性。本发明特别适用于在深亚微米领域中以高电路速度设计为特征的半导体装置的制造。
在前面的详细描述中,本发明以特定的示范实施例为参考来加以陈述。然而,很明显的,在不违背本发明主要精神与范畴的情况下,如在权利要求书中所阐述的,不同的修正及改变是可以的。因此,本详述及图示只是用以说明而非用以限制本发明。必须了解的是,本发明可以应用各种其它的化合物和环境,并且可以在本发明于此所阐述的概念范围内加以改变或修正。

Claims (10)

1.一种制造半导体装置的方法,该方法包括:
于基板(10)上形成第一电介质层(30);
于该第一电介质层(30)上形成包括第一电介质阻挡材料的第一金属扩散阻挡层(31);
蚀刻形成由该第一电介质层(30)之侧表面(30A)与底部所界定的第一开孔(32);
在该第一电介质层(30)之上的该第一金属扩散阻挡层(31)上表面及界定该第一开孔的第一电介质层的侧表面以及该开孔底部,形成包括不同于该第一电介质阻挡材料的第二电介质阻挡材料的第二金属扩散阻挡层(40);
选择性地蚀刻与该第一金属扩散阻挡层(31)相关的该第二金属扩散阻挡层(40)以移除该第二金属扩散阻挡层(40),并停止于该第一金属扩散阻挡层(31)上表面,并自该第一开孔的底部移除该第二金属扩散阻挡层(40),剩下位于界定该第一开孔(32)的该第一电介质层(30)的该侧表面(30A)上衬里(50)的该第二金属扩散阻挡层(40)部分,其中该衬里(50)有一个上部表面延伸一段距离至该第一金属扩散阻挡层(31)的上表面下方;以及
以金属填充该开孔以形成下部金属特征(60)。
2.根据权利要求1所述的方法,其特征在于该第一和第二电介质阻挡层选自一组包括氮化硅、氮氧化硅和碳化硅的化合物,该方法包含由化学气相沉积法分别沉积该第一金属扩散阻挡层(31)及第二金属扩散阻挡层(40)。
3.根据权利要求1所述的方法,包含用铜或铜合金(60)填充该开孔(32)。
4.根据权利要求1所述的方法,进一步包含:
在该第一金属扩散阻挡层(31)及该下部金属特征(60)的上表面之上形成包含不同于该第一电介质阻挡材料的第三电介质阻挡材料的第三阻挡层(70);
在该第三阻挡层(70)上形成第二电介质层(71);
在该第二电介质层(71)上形成包含有第四电介质阻挡材料之第四阻挡层(72);
在该第四阻挡层(72)上形成第三电介质层(73);
在该第三电介质层(73)上形成包含有第五电介质阻挡材料的第五阻挡层(74);
蚀刻形成双层金属镶嵌开孔,该双层金属镶嵌开孔包含由该第三电介质层(73)的侧表面(73A)所界定的上沟槽部份(76),该上沟槽部份(76)与较低导孔(75)相连通,而该较低导孔(75)由该第二电介质层(71)的侧表面(71A)、以及位于该下部金属特征(60)的至少部分上表面上的底部所界定;
形成包含不同于该第一、第四及第五电介质材料的第六电介质阻挡材料的第六阻挡层(80),其位于该第三电介质层(73)上的第五阻挡层(74)上,位于界定该沟槽(76)的该第三电介质层侧表面(73A)上,位于界定该导孔(75)的该第二电介质层(71)的侧表面(71A)上,位于介于该沟槽(76)与导孔(75)之间的该第四阻挡层(72)的一部分上,以及位于该导孔的底部;
对该第五及第四阻挡层进行蚀刻以移除该第六阻挡层,并停止于该第五及第四阻挡层上,对导孔底部进行蚀刻以移除该第六阻挡层,剩下位于界定该沟槽的该第三电介质层(73)的侧表面(73A)及界定导孔(75)的该第二电介质层(71)的侧表面(71A),如衬里(91,90)的一部分第六阻挡层;以及
以金属填充该双层金属镶嵌开孔以形成金属传导线(100B)连接下面的金属导孔(100A)。
5.根据权利要求4所述的方法,包含以铜或铜合金(100)填充该双层金属镶嵌开孔以形成铜或铜合金传导线(100B)连接铜或铜合金导孔(100A),该铜或铜合金导孔(100A)用来电连接至下部金属特征(60)。
6.根据权利要求4所述的方法,进一步包含在该第六阻挡层(74)上表面及该金属传导线(100B)的上表面沉积包括第七电介质阻挡材料的第七阻挡层(101)。
7.一种半导体装置,包含:
一个包括铜或铜合金的下部金属特征,该特征形成于带有第一金属扩散阻挡层的第一电介质层的侧表面所界定的开孔中,该第一金属扩散阻挡层包含第一电介质阻挡材料;
一个包含不同于该第一电介质阻挡材料的第二电介质阻挡材料的电介质衬里,该电介质衬里位于下部金属特征与第一电介质层之间的第一电介质层的侧表面上,该电介质衬里有一个上部表面延伸一段距离至该第一金属扩散阻挡层的上表面下方。
8.根据权利要求7所述的半导体装置,进一步包含:
一个具有不同于该第一电介质阻挡材料的第三电介质阻挡材料的第二金属扩散阻挡层,该第二金属扩散阻挡层位于该第一电介质层上方的该第一金属扩散阻挡层之上;以及
一个双层金属镶嵌结构,其形成并电连结至该下部金属特征,该双层金属镶嵌结构包含:
一个形成于该第二金属扩散阻挡层之上的第二电介质层;
一个形成于该第二电介质层之上的包含第四电介质阻挡材料的第三金属扩散阻挡层;
一个形成于该第三金属扩散阻挡层之上的第三电介质层;
一个形成于该第三电介质层之上的包含第五电介质阻挡材料的第四金属扩散阻挡层;
一个双层金属镶嵌开孔,其包含由该第三电介质层的侧表面所界定的沟槽,并连接至由该第二电介质层的侧表面所界定的导孔,其底部位于下部金属特征的至少一部分上表面上;
一个包含不同于第一、第四及第五电介质阻挡材料的第六电介质阻挡材料的金属扩散阻挡衬里,该金属扩散阻挡衬里位于界定导孔的该第二电介质层的侧表面及界定沟槽的第三电介质层的侧表面上;以及
用铜或铜合金填充该双层金属镶嵌开孔,在该第三电介质层中形成铜或铜合金传导线,与位于该第二电介质层内的导孔连接,并依序与该下部金属特征电连接。
9.根据权利要求8所述的半导体装置,其特征在于:
位于该第二电介质层的侧表面上的该金属扩散阻挡衬里的上部表面,延伸一段距离至该第三金属扩散阻挡层的上表面下方;以及
位于该第三电介质层的侧表面上的该金属扩散阻挡衬里的上部表面,延伸一段距离至该第四金属扩散阻挡层的上表面下方。
10.根据权利要求8所述的半导体装置,其特征在于该第一、第二、第三、第四、第五及第六电介质阻挡材料选自一组包含氮化硅、碳化硅及氮氧化硅的化合物组。
CNB018230911A 2001-03-27 2001-12-19 利用电介质阻挡层实施金属镶嵌的方法 Expired - Lifetime CN100449730C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/817,056 US7132363B2 (en) 2001-03-27 2001-03-27 Stabilizing fluorine etching of low-k materials
US09/817,056 2001-03-27

Publications (2)

Publication Number Publication Date
CN1575515A CN1575515A (zh) 2005-02-02
CN100449730C true CN100449730C (zh) 2009-01-07

Family

ID=25222264

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018230911A Expired - Lifetime CN100449730C (zh) 2001-03-27 2001-12-19 利用电介质阻挡层实施金属镶嵌的方法

Country Status (8)

Country Link
US (2) US7132363B2 (zh)
EP (1) EP1374300A2 (zh)
JP (1) JP2004527909A (zh)
KR (1) KR100774601B1 (zh)
CN (1) CN100449730C (zh)
AU (1) AU2002249838A1 (zh)
TW (1) TW533535B (zh)
WO (1) WO2002078060A2 (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4368498B2 (ja) * 2000-05-16 2009-11-18 Necエレクトロニクス株式会社 半導体装置、半導体ウェーハおよびこれらの製造方法
US7687917B2 (en) * 2002-05-08 2010-03-30 Nec Electronics Corporation Single damascene structure semiconductor device having silicon-diffused metal wiring layer
US7547635B2 (en) * 2002-06-14 2009-06-16 Lam Research Corporation Process for etching dielectric films with improved resist and/or etch profile characteristics
US6939800B1 (en) * 2002-12-16 2005-09-06 Lsi Logic Corporation Dielectric barrier films for use as copper barrier layers in semiconductor trench and via structures
CN101217136B (zh) * 2003-05-29 2011-03-02 日本电气株式会社 布线结构及其制造方法
US7151315B2 (en) * 2003-06-11 2006-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of a non-metal barrier copper damascene integration
KR20050070794A (ko) * 2003-12-31 2005-07-07 동부아남반도체 주식회사 반도체 소자의 금속배선 형성방법
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
EP1787319A4 (en) * 2004-08-31 2011-06-29 Silecs Oy NEW DIELECTRIC POLYORGANOSILOXANE MATERIALS
US7390739B2 (en) * 2005-05-18 2008-06-24 Lazovsky David E Formation of a masking layer on a dielectric region to facilitate formation of a capping layer on electrically conductive regions separated by the dielectric region
US20060244151A1 (en) * 2005-05-02 2006-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Oblique recess for interconnecting conductors in a semiconductor device
US7480990B2 (en) * 2006-01-06 2009-01-27 International Business Machines Corporation Method of making conductor contacts having enhanced reliability
JP4741965B2 (ja) * 2006-03-23 2011-08-10 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US7649239B2 (en) 2006-05-04 2010-01-19 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
KR100744420B1 (ko) * 2006-08-29 2007-07-30 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
KR100735482B1 (ko) * 2006-08-29 2007-07-03 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
US7517804B2 (en) * 2006-08-31 2009-04-14 Micron Technologies, Inc. Selective etch chemistries for forming high aspect ratio features and associated structures
US7772702B2 (en) * 2006-09-21 2010-08-10 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US7585758B2 (en) * 2006-11-06 2009-09-08 International Business Machines Corporation Interconnect layers without electromigration
KR100853098B1 (ko) * 2006-12-27 2008-08-19 동부일렉트로닉스 주식회사 반도체 소자의 금속 배선 및 이의 제조 방법
US8247322B2 (en) 2007-03-01 2012-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Via/contact and damascene structures and manufacturing methods thereof
CN101752298B (zh) * 2008-12-09 2011-10-05 中芯国际集成电路制造(上海)有限公司 金属互连结构的制造方法
WO2013101204A1 (en) 2011-12-30 2013-07-04 Intel Corporation Self-enclosed asymmetric interconnect structures
US8772938B2 (en) 2012-12-04 2014-07-08 Intel Corporation Semiconductor interconnect structures
US8871639B2 (en) * 2013-01-04 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9412866B2 (en) * 2013-06-24 2016-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. BEOL selectivity stress film
CN105097656B (zh) * 2014-05-08 2018-05-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制作方法、电子装置
US9893184B2 (en) * 2015-12-15 2018-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Fin-type field effect transistor device and method of fabricating the same
US10163649B2 (en) * 2015-12-17 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and manufacturing method thereof
US9859156B2 (en) * 2015-12-30 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure with sidewall dielectric protection layer
DE102017118475B4 (de) * 2016-11-29 2022-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Selbstjustierte abstandshalter und verfahren zu deren herstellung
US10510598B2 (en) * 2016-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned spacers and method forming same
US10526953B2 (en) 2017-03-30 2020-01-07 Quest Engines, LLC Internal combustion engine
US10465629B2 (en) 2017-03-30 2019-11-05 Quest Engines, LLC Internal combustion engine having piston with deflector channels and complementary cylinder head
US10590834B2 (en) 2017-03-30 2020-03-17 Quest Engines, LLC Internal combustion engine
US10598285B2 (en) 2017-03-30 2020-03-24 Quest Engines, LLC Piston sealing system
US10989138B2 (en) 2017-03-30 2021-04-27 Quest Engines, LLC Internal combustion engine
US10753308B2 (en) 2017-03-30 2020-08-25 Quest Engines, LLC Internal combustion engine
US10590813B2 (en) 2017-03-30 2020-03-17 Quest Engines, LLC Internal combustion engine
US11041456B2 (en) 2017-03-30 2021-06-22 Quest Engines, LLC Internal combustion engine
JP6894981B2 (ja) 2017-04-28 2021-06-30 クエスト エンジンズ,エルエルシー 可変容積室デバイス
WO2018204684A1 (en) 2017-05-04 2018-11-08 Quest Engines, LLC Variable volume chamber for interaction with a fluid
US20190109090A1 (en) * 2017-08-15 2019-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnection structure lined by isolation layer
US10808866B2 (en) 2017-09-29 2020-10-20 Quest Engines, LLC Apparatus and methods for controlling the movement of matter
US10950728B2 (en) * 2017-11-16 2021-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with isolation layer and method for forming the same
WO2019147797A2 (en) 2018-01-26 2019-08-01 Quest Engines, LLC Audio source waveguide
US10753267B2 (en) 2018-01-26 2020-08-25 Quest Engines, LLC Method and apparatus for producing stratified streams
US11398406B2 (en) * 2018-09-28 2022-07-26 Taiwan Semiconductor Manufacturing Company, Ltd. Selective deposition of metal barrier in damascene processes
CN114980477A (zh) * 2021-02-18 2022-08-30 合肥鑫晟光电科技有限公司 背板、背光源、照明装置及显示装置

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1167338A (zh) * 1996-03-25 1997-12-10 东芝株式会社 半导体器件的制造方法
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5916823A (en) * 1998-10-13 1999-06-29 Worldwide Semiconductor Manufacturing Corporation Method for making dual damascene contact
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion
WO2000039849A1 (en) * 1998-12-31 2000-07-06 Conexant Systems, Inc. Dual-damascene interconnect structures and methods of fabricating same
WO2001020665A1 (fr) * 1999-09-14 2001-03-22 Commissariat A L'energie Atomique Procede de realisation d'une connexion en cuivre

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3297220B2 (ja) * 1993-10-29 2002-07-02 株式会社東芝 半導体装置の製造方法および半導体装置
JPH1154504A (ja) * 1997-08-04 1999-02-26 Sony Corp 積層絶縁体膜の形成方法およびこれを用いた半導体装置
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
KR100278657B1 (ko) * 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
US6265779B1 (en) * 1998-08-11 2001-07-24 International Business Machines Corporation Method and material for integration of fuorine-containing low-k dielectrics
US6319815B1 (en) * 1998-10-21 2001-11-20 Tokyo Ohka Kogyo Co., Ltd. Electric wiring forming method with use of embedding material
US6333560B1 (en) * 1999-01-14 2001-12-25 International Business Machines Corporation Process and structure for an interlock and high performance multilevel structures for chip interconnects and packaging technologies
US6017817A (en) * 1999-05-10 2000-01-25 United Microelectronics Corp. Method of fabricating dual damascene
TW447050B (en) * 1999-05-14 2001-07-21 Ibm Correction of metal damascene wiring topography using oxide fill and selective oxide chemical mechanical polishing with polish-stop layer
US6221780B1 (en) * 1999-09-29 2001-04-24 International Business Machines Corporation Dual damascene flowable oxide insulation structure and metallic barrier
US20010051420A1 (en) * 2000-01-19 2001-12-13 Besser Paul R. Dielectric formation to seal porosity of low dielectic constant (low k) materials after etch
US6284657B1 (en) * 2000-02-25 2001-09-04 Chartered Semiconductor Manufacturing Ltd. Non-metallic barrier formation for copper damascene type interconnects
US6372636B1 (en) * 2000-06-05 2002-04-16 Chartered Semiconductor Manufacturing Ltd. Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US6683002B1 (en) * 2000-08-10 2004-01-27 Chartered Semiconductor Manufacturing Ltd. Method to create a copper diffusion deterrent interface
US6531407B1 (en) * 2000-08-31 2003-03-11 Micron Technology, Inc. Method, structure and process flow to reduce line-line capacitance with low-K material
US20020111013A1 (en) * 2001-02-15 2002-08-15 Okada Lynn A. Method for formation of single inlaid structures
US20020132471A1 (en) * 2001-03-16 2002-09-19 International Business Machines Corporation High modulus film structure for enhanced electromigration resistance
US6492270B1 (en) * 2001-03-19 2002-12-10 Taiwan Semiconductor Manufacturing Company Method for forming copper dual damascene

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1167338A (zh) * 1996-03-25 1997-12-10 东芝株式会社 半导体器件的制造方法
US5821168A (en) * 1997-07-16 1998-10-13 Motorola, Inc. Process for forming a semiconductor device
US5916823A (en) * 1998-10-13 1999-06-29 Worldwide Semiconductor Manufacturing Corporation Method for making dual damascene contact
WO2000039849A1 (en) * 1998-12-31 2000-07-06 Conexant Systems, Inc. Dual-damascene interconnect structures and methods of fabricating same
WO2001020665A1 (fr) * 1999-09-14 2001-03-22 Commissariat A L'energie Atomique Procede de realisation d'une connexion en cuivre
US6040243A (en) * 1999-09-20 2000-03-21 Chartered Semiconductor Manufacturing Ltd. Method to form copper damascene interconnects using a reverse barrier metal scheme to eliminate copper diffusion

Also Published As

Publication number Publication date
US7132363B2 (en) 2006-11-07
KR20030087653A (ko) 2003-11-14
AU2002249838A1 (en) 2002-10-08
WO2002078060A3 (en) 2003-02-06
JP2004527909A (ja) 2004-09-09
KR100774601B1 (ko) 2007-11-09
WO2002078060A2 (en) 2002-10-03
US20020140101A1 (en) 2002-10-03
CN1575515A (zh) 2005-02-02
EP1374300A2 (en) 2004-01-02
TW533535B (en) 2003-05-21
US20070035025A1 (en) 2007-02-15

Similar Documents

Publication Publication Date Title
CN100449730C (zh) 利用电介质阻挡层实施金属镶嵌的方法
US7365001B2 (en) Interconnect structures and methods of making thereof
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US7915162B2 (en) Method of forming damascene filament wires
US6455409B1 (en) Damascene processing using a silicon carbide hard mask
US6037664A (en) Dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
US6426249B1 (en) Buried metal dual damascene plate capacitor
US6893959B2 (en) Method to form selective cap layers on metal features with narrow spaces
US20060205204A1 (en) Method of making a semiconductor interconnect with a metal cap
US7902061B2 (en) Interconnect structures with encasing cap and methods of making thereof
US6555461B1 (en) Method of forming low resistance barrier on low k interconnect
US7755194B1 (en) Composite barrier layers with controlled copper interface surface roughness
US20190067087A1 (en) Dual-damascene formation with dielectric spacer and thin liner
US6215189B1 (en) Semiconductor device having interconnect layer and method of manufacturing therefor
US20060027924A1 (en) Metallization layers for crack prevention and reduced capacitance
US6429121B1 (en) Method of fabricating dual damascene with silicon carbide via mask/ARC
US6797652B1 (en) Copper damascene with low-k capping layer and improved electromigration reliability
US6664187B1 (en) Laser thermal annealing for Cu seedlayer enhancement
US6689684B1 (en) Cu damascene interconnections using barrier/capping layer
US6713874B1 (en) Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics
US7071564B1 (en) Composite tantalum capped inlaid copper with reduced electromigration and reduced stress migration
US6576545B1 (en) Semiconductor devices with dual nature capping/ARC layers on fluorine doped silica glass inter-layer dielectrics and method of forming capping/ARC layers
US6723634B1 (en) Method of forming interconnects with improved barrier layer adhesion
US6727592B1 (en) Copper interconnect with improved barrier layer
US20060118955A1 (en) Robust copper interconnection structure and fabrication method thereof

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20090107