KR20030071286A - 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법 - Google Patents

화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법 Download PDF

Info

Publication number
KR20030071286A
KR20030071286A KR1020020010928A KR20020010928A KR20030071286A KR 20030071286 A KR20030071286 A KR 20030071286A KR 1020020010928 A KR1020020010928 A KR 1020020010928A KR 20020010928 A KR20020010928 A KR 20020010928A KR 20030071286 A KR20030071286 A KR 20030071286A
Authority
KR
South Korea
Prior art keywords
slurry
polishing
film
silicon nitride
nitride film
Prior art date
Application number
KR1020020010928A
Other languages
English (en)
Other versions
KR100442873B1 (ko
Inventor
이재동
윤보언
한용필
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR10-2002-0010928A priority Critical patent/KR100442873B1/ko
Priority to CNB03103408XA priority patent/CN1288722C/zh
Priority to JP2003050920A priority patent/JP4537010B2/ja
Priority to US10/378,102 priority patent/US6887137B2/en
Publication of KR20030071286A publication Critical patent/KR20030071286A/ko
Application granted granted Critical
Publication of KR100442873B1 publication Critical patent/KR100442873B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

고 평탄화도 슬러리와 고 선택비 슬러리 두 종류로 구성된 CMP용 슬러리가 제공된다. 고 평탄화도 슬러리는 적어도 1종 이상의 금속 산화물 연마 입자와 음이온성 폴리머 패시베이션제를 포함한다. 고 선택비 슬러리는 적어도 1종 이상의 금속 산화물 연마 입자, 고 평탄화도 슬러리제에 포함된 패시베이션제의 함량보다 작은 함량의 패시베이션제, 4차 아민 또는 이의 염 및 pH 조절제를 포함하며, 폴리싱 대상막의 등전점보다 높고 폴리싱 스토퍼의 등전점보다 낮은 pH를 지닌다. 또, 고 평탄화도와 고 선택비를 가지는 CMP 슬러리를 사용한 CMP 방법이 제공된다.

Description

화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적 기계적 폴리싱 방법{Chemical mechanical polishing slurry and chemical mechanical polishing method using the same}
본 발명은 초소형 반도체 소자(microelectronic device)들을 제조하는데 사용되는 화학 기계적 폴리싱(CMP) 방법 및 이에 사용되는 슬러리에 관한 것으로, 특히, 고 평탄화도(high planarity)와 고 선택비(high selectivity ratio)를 가지는 CMP 슬러리(slurry), 이를 사용한 CMP 방법에 관한 것이다.
반도체 소자의 고집적화, 미세화 및 배선구조의 다층화 추세에 따라 웨이퍼 상에 다층으로 형성되는 도전층 또는 절연층에서 단차가 증가하게 되었다. 이러한 단차를 제거하기 위하여 1980년대 말 미국 IBM사에서 화학적 제거공정과 기계적 제거공정을 결합시킨 CMP라는 새로운 공정이 개발되었다. 이후 64M 이상의 메모리 반도체와 비메모리 반도체에서는 거의 전 영역에 CMP 공정이 적용될 정도로 미세가공기술의 중심이 되고 있으며, 향후 차세대 기가급 DRAM 메모리 또는 동급의 비메모리 반도체의 제조에 있어서 가장 중요한 기술로 주목받고 있다.
CMP 공정은 가공하고자 하는 웨이퍼의 표면과 연마 패드를 접촉한 상태에서 슬러리를 이들 접촉부위에 공급하면서 웨이퍼와 연마 패드를 상대적으로 이동시킴으로써, 웨이퍼 표면의 요철부분을 화학적으로 반응시키면서 동시에 물리적으로 제거하여 평탄화하는 기술이다. 따라서, CMP 공정의 퍼포먼스는 CMP 장비의 공정 조건, 슬러리의 종류, 연마패드의 종류 등에 의해 결정된다. 특히, 슬러리는 폴리싱 공정의 퍼포먼스에 중요한 영향을 미치는 요인이다. 따라서 슬러리에서는 고 평탄화도 및 고 선택비 특성을 가질 것이 요구된다. 그런데 종래의 슬러리의 경우 고 평탄화도 특성이 부족하여 CMP 결과물에 디싱(dishing)을 발생시켜서 배선 단락의 요인이 되기도 한다. 또, 폴리싱 대상막(예: 산화막)에 대한 폴리싱 스토퍼(예: 실리콘 질화막)의 선택비가 4:1 정도에 불과해서 폴리싱 스토퍼가 허용 범위 이상으로 폴리싱된다. 결과적으로 스토퍼가 웨이퍼 부위별로 균일하게 제거되지 않을 수 있으며, 웨이퍼 전반에 걸쳐 스토퍼의 두께 변화폭이 매우 클 수 밖에 없다. 이것은 웨이퍼 표면에 밀도가 큰 패턴과 밀도가 작은 패턴을 동시에 가지는 경우에 단차를 유발하고 후속 소자 제조공정의 마진을 감소시킨다.
따라서, 슬러리로서 요구되는 제 특성들인, 고 평탄화도 및 고 선택비들을 만족시킬 수 있는 새로운 CMP 슬러리에 대한 개발이 요구되고 있다.
본 발명이 이루고자 하는 기술적 과제는, 고 평탄화도 및/또는 고 선택비 특성을 가지는 CMP 슬러리를 제공하는 데 있다.
본 발명이 이루고자 하는 다른 기술적 과제는 상기와 같은 특성을 갖는 CMP 슬러리를 사용한 CMP 방법을 제공하는 데 있다.
도 1은 음이온성 폴리머 패시베이션제의 패시베이션 기작을 나타내는 개략도이다.
도 2는 실리콘 산화막과 실리콘 질화막의 제타 포텐셜을 pH의 함수로 나타낸 그래프이다.
도 3은 본 발명의 CMP용 슬러리의 제조과정을 나타내는 공정 순서도이다.
도 4는 본 발명의 CMP 공정에 사용되는 장비의 개략도이다.
도 5는 본 발명의 CMP 방법의 공정 흐름도이다.
도 6은 실리콘 질화막과 산화막의 제거율 및 선택비를 음이온성 폴리머 패시베이션제 함량의 함수로 나타낸 그래프이다.
도 7은 4차 선택비 및 슬러리의 pH를 아민 함량의 함수로 나타낸 그래프이다.
도 8 내지 도 10은 본 발명의 고 선택비 슬러리를 적용하기 위한 시편의 제조 과정을 나타내는 단면도들이고, 도 11은 고 선택비 슬러리를 적용한 후의 시편의 단면도이다.
도 12는 본 발명의 슬러리와 종래의 슬러리를 적용한 후 측정한 잔류 실리콘 질화막 두께의 분포를 나타내는 그래프이다.
도 13은 고 평탄화도 슬러리를 사용하여 사전 평탄화한 시편의 단면도이고,
도 14는 사전 평탄화된 시편에 고 선택비 슬러리를 적용한 후의 단면도이다.
상기 기술적 과제를 달성하기 위한 본 발명에 따른 CMP 슬러리는 고 평탄화도 슬러리와 고 선택비 슬러리 두 종류로 구성된 슬러리 키트이다. 이 슬러리 키트는 폴리싱 스토퍼와 폴리싱 스토퍼를 덮는 폴리싱 대상막을 구비하는 기판의 CMP에 사용되는 슬러리 키트이다. 고 평탄화도 슬러리는 적어도 1종 이상의 금속 산화물 연마 입자와 음이온성 폴리머 패시베이션제를 포함한다. 고 선택비 슬러리는 적어도 1종 이상의 금속 산화물 연마 입자, 고 평탄화도 슬러리제에 포함된 패시베이션제의 함량보다 작은 함량의 패시베이션제를 포함하며 폴리싱 대상막의 등전점보다 높고 폴리싱 스토퍼의 등전점보다 낮은 pH를 지닌다. 선택적으로 고 선택비 슬러리 단독으로도 사용가능하다.
패시베이션제는 폴리카르복실산, 폴리에틸렌이민, 폴리비닐 술폰산, 폴리카르복실산염, 폴리에틸렌 이민염 또는 폴리비닐 술폰산염인 것이 바람직하다.
고 평탄화도 슬러리내의 패시베이션제의 함량은 1.6 내지 4.0 중량%가 바람직하고, 1.6 내지 2.1중량%인 것이 더 바람직하다. 고 선택비 슬러리내의 패시베이션제의 함량은 0.4 내지 1.2중량%인 것이 바람직하다.
연마 입자는 세리아, 실리카, 알루미나, 티타니아, 지르코니아 또는 게르마니아이고, 그 함량은 0.5 내지 2.0중량%인 것이 바람직하다.
고 선택비 슬러리는 4차 아민, 이의 염 또는 이의 유도체 및/또는 pH 조절제를 더 포함할 수 있다. 4차 아민은 테트라메틸암모늄하이드록사이드 또는 콜린이며, 그 함량은 0.2 내지 0.8 중량%인 것이 바람직하다. 그리고, pH 조절제는 수산화칼륨, 수산화암모늄, 테트라메틸암모늄하이드록사이드 또는 콜린인 것이 바람직하다. 고 선택비 슬러리의 pH는 5 내지 9가 바람직하고, 7 내지 9가 더욱 바람직하다.
바람직하기로는 폴리싱 대상막은 산화막이고, 폴리싱 스토퍼는 실리콘 질화막이다.
상기 다른 기술적 과제를 달성하기 위한 본 발명에 따른 CMP 방법에서는 폴리싱 스토퍼 및 폴리싱 스토퍼를 덮는 폴리싱 대상막을 구비하는 기판과 연마 패드 사이로 상기 고 평탄화 슬러리를 공급하면서 상기 기판과 연마패드를 접촉시킨 채로 상기 기판과 연마패드를 상대적으로 이동시켜 상기 기판 표면의 폴리싱 대상막의 적어도 일부를 제거한다. 이어서, 상기 기판과 연마 패드 사이로 상기 고 선택비 슬러리를 공급하면서 상기 기판과 연마 패드를 접촉시킨 채로 상기 기판과 연마패드를 상대적으로 이동시키되 상기 폴리싱 스토퍼의 표면이 노출될 때까지 상기 폴리싱 대상막을 제거한다. 선택적으로 상기 고 평탄화 슬러리를 사용하는 단계는 생략될 수도 있다.
폴리싱 대상막은 트렌치 영역을 매립하는 절연막 또는 하부 구조물들이 형성된 기판 상에 형성된 층간 절연막이고, 폴리싱 대상막은 산화막이고, 폴리싱 스토퍼는 실리콘 질화막으로 구성된 것이 바람직하다. 더욱 바람직하기로는 산화막은 HDPCVD 또는 PECVD 산화막이고, 상기 실리콘 질화막은 LPCVD 실리콘 질화막 또는 고온 PECVD 실리콘 질화막이다.
이하 첨부된 도면들을 참조하면서, 본 발명에 따른 CMP 슬러리 및 이를 이용한 CMP 방법에 대한 실시예들을 상세하게 설명한다. 그러나 본 발명은 이하에서 개시되는 실시예들에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 도면에서 각 층의 두께는 설명의 편의를 위하여 과장 또는 개략화된 것이다. 명세서 전체에 걸쳐 동일 참조 부호는 동일 부재를 지칭한다.
본 발명에 따른 CMP 슬러리들은 금속 산화물 연마입자와 함께 첨가되는 첨가제들에 의해 특성이 조절된 슬러리들이다. 이들 슬러리들은 특성에 따라 독립적으로 사용되거나 상호보완적으로 사용된다. 본 발명에 따른 CMP 슬러리는 기능에 따라 고 선택비 슬러리와 고 평탄화도 슬러리 두 종류로 구분된다. 적어도 1종 이상의 금속 산화물 연마입자에 첨가되는 패시베이션제의 함량이 높으면 고 평탄화도 특성을 나타내고, 패시베이션제의 함량이 낮으면 고 선택비 특성을 나타낸다.
고 선택비 슬러리는 금속 산화물 연마입자를 0.2 내지 2.5 중량%로, 바람직하기로는 0.5 내지 2.0중량%로 포함하고, 0.4 내지 1.2 중량%의 음이온성 폴리머 패시베이션제 및 잔량의 물, 바람직하게는 탈이온수(deionized water)를 포함하는 수용액이다.
금속 산화물 연마 입자로는 세리아(ceria), 실리카(silica), 알루미나(alumina), 티타니아(titania), 지르코니아(zirconia) 및 게르마니아(germania)로 이루어진 그룹에서 선택된 1종 이상의 물질이 적합하다.
음 이온성 폴리머 패시베이션제로는 폴리카르복실산, 폴리에틸렌이민, 폴리비닐 술폰산, 폴리카르복실산염, 폴리에틸렌 이민염 및 폴리비닐 술폰산염으로 이루어진 그룹에서 선택된 물질이 사용된다. 음 이온성 폴리머 패시베이션제는 하기 반응식 1과 같이 수용성 슬러리 내에서 용해되어 음(-) 전하의 폴리머를 다량 형성한다.
[반응식 1]
음 전하의 폴리머는 정전기적 인력에 의해 양(+) 전하를 나타내는 표면에는 강하게 흡착하고, 정전기적 척력에 의해 음 전하를 나타내는 표면과는 반발력이 작용하게 된다. 즉, 음 이온성 폴리머는 슬러리내에서 양 전하를 나타내는 표면에 흡착하여 패시베이션 기능을 한다. 그 결과, 슬러리내에서 양 전하를 나타내는 물질의 폴리싱을 억제하고, 음 전하를 나타내는 물질이 선택적으로 폴리싱되도록 할 수 있다.
따라서 서로 다른 두 개의 막이 각각 서로 다른 표면 전하를 가지도록 하면 음이온성 폴리머의 패시베이션 작용에 의해 선택비를 높일 수 있다. 슬러리의 pH가 등전점(isoelectric point)보다 낮은 경우 슬러리내의 막 표면은 양(+) 전하를 나타내고 등전점보다 높은 경우 슬러리내의 막 표면은 음(-) 전하를 나타낸다. 그러므로, 슬러리의 pH를 조절하여 두 개의 막이 각각 서로 다른 표면 전하를 가지도록 할 수 있다.
도 1을 참고하면, 슬러리의 pH가 폴리싱 대상막(예: 산화막)(10)의 등전점보다 높고 폴리싱 스토퍼(예: 실리콘 질화막(Si3N4))(15)의 등전점보다 낮은 경우 폴리싱 대상막(10)은 음(-) 전하를 나타내고, 폴리싱 스토퍼(15)는 양(+) 전하를 나타낸다. 따라서, 음이온성 폴리머(20)가 폴리싱 스토퍼(15)의 표면에 강력하게 흡착하여 패시베이션(passivation) 작용을 한다. 따라서 음이온성 폴리머(20)의 패시베이션 작용에 의해 폴리싱 스토퍼(15)에 대한 폴리싱 대상막(10)의 선택비가 고선택비, 예컨대 45:1 이상이 되도록 할 수 있다.
현재 STI 공정 및 ILD 공정에서 폴리싱되어야 할 대상막인 산화막은 HDPCVD(High Density Plasma Chemical Vapor Deposition)법에 의해 형성된 HDP 산화막 또는 PECVD(Plasma Enhanced Chemical Vapor Deposition)법에 의해 형성된 PE-TEOS와 같은 산화막이며, 폴리싱 스토퍼인 실리콘 질화막은 수백 mTorr 이하의 압력 조건을 사용하는 LPCVD(Low Pressure Chemical Vapor Deposition)법 또는 고온(약 500-600℃) PECVD(Plasma Enhanced Chemical Vapor Deposition)법에 의해 형성된 실리콘 질화막이다.
막질의 고유한 표면 전하는 제타(ξ) 포텐셜(mV)의 값으로 나타낼 수 있으며, 제타 포텐셜의 부호가 바뀌는 수용액의 pH가 등전점이다. 도 2에 도시되어 있는 바와 같이 HDPCVD 또는 PECVD 산화막의 경우 등전점은 약 pH 5 이고, LPCVD 또는 고온 PECVD 실리콘 질화막의 경우 등전점은 약 pH 9 이다. 따라서 슬러리의 pH는 5 내지 9이고, 양 쪽 막질에서 반대의 표면 전하가 충분히 나타나도록 하기 위해서는 pH 7 내지 9 가 바람직하다.
고 선택비 슬러리는 4차 아민, 이의 염 또는 이의 유도체(이하 4차 아민 이라 생략하여 칭함)을 0.2 내지 0.8 중량%의 함량으로 더 포함하는 것이 바람직하다. 4차 아민으로는 테트라메틸암모늄하이드록사이드((CH3)4NOH, TMAH), 콜린((CH3)3(CH2CH2OH)NOH) 또는 이들의 염이 바람직하다. 콜린 염 또는 콜린 유도체로는 콜린 불화물(choline fluoride), 콜린 염화물(choline chloride), 콜린 브롬화물(choline bromide), 콜린 요오드화물(choline iodide), 콜린 디하이드로젠 시트레이트(choline dihydrogen citrate), 콜린 바이타르트레이트(choline bitartrate), 콜린 바이카보네이트(choline bicarbonate), 콜린 시트레이트(choline citrate), 콜린 아스코베이트(choline ascorbate), 콜린 보레이트(choline borate), 콜린 테오필리네이트(choline theophyllinate), 콜린 글루코네이트(choline gluconate), 아세틸콜린 염화물(acethylcholine chloride), 아세틸콜린 브롬화물(acetylcholine bromide) 및 메타콜린 염화물(methacholine chloride)로 이루어진 군에서 선택된 어느 하나가 사용될 수 있다.
4차 아민은 슬러리의 pH를 최적 범위로 조절하는 역할 뿐만 아니라 아민 자체의 산화막 제거력에 의해 슬러리의 산화막 제거율(removal rate)을 보강시키는 기능을 한다. 또, 염기성 4차 아민의 경우에는 아래 반응식 2와 같이 음이온성 폴리머 패시베이션제(I)의 해리를 촉진시킨다.
[반응식 2]
음이온성 폴리머 패시베이션제(I)는 수용액내에서 해리되어 음 전하의 폴리머(II)와 양전하(III)를 형성한다. 그러나 수용성 슬리러내에서 음이온성 폴리머 패시베이션제가 완전하게 해리되는 것이 아니고 용액 평형을 이루면서 해리가 진행된다. 여기에 염기성 4차 아민과 같은 염기가 첨가되는 경우 짝산-짝염기의 반응에 의해 음이온성 폴리머 패시베이션제(I)가 추가적으로 해리되어 음 전하의 폴리머(II)를 더 많이 생성하게 된다. 따라서, 폴리싱 스토퍼에 대한 패시베이션 기능이 강화된다.
더욱 바람직하기로는 고 선택비 슬러리는 pH 조절제를 더 포함하여 슬러리의 pH를 최적화한다. pH 조절제로는 수산화칼륨(KOH), 수산화암모늄(NH4OH), TMAH 및 콜린으로 이루어진 그룹에서 선택된 염기 또는 황산, 염산, 인산 및 질산으로 이루어진 그룹에서 선택된 산이 적합하다.
고 평탄화도 슬러리는 고 선택비 슬러리에 비해 다량의 음이온성 폴리머 패시베이션제를 포함하는 수용성 슬러리이다. 바람직하기로는 0.2 내지 2.5 중량%의 금속 산화물 연마입자와 함께 1.6 내지 4.0 중량%의 음이온성 폴리머 패시베이션제를 포함한다. 슬러리의 제조 단가 및 폴리싱 대상막의 최소한의 제거율 확보를 고려할 때 1.6 내지 2.1중량%로 음이온성 폴리머 패시베이션제를 포함하는 것이 가장 바람직하다.
음이온성 폴리머 패시베이션제의 함량이 증가하면, 수용성 슬러리 내에서 해리된 음 전하의 폴리머가 패시베이션제 역할을 할 뿐만 아니라 벌크 상(bulk phase)에도 존재하면서 연마입자의 활동을 억제하고 슬러리의 유동성에 영향을 주게 된다. 따라서 고 평탄화도 슬러리를 사용할 경우에는 폴리싱 공정이 적용될 웨이퍼의 표면에 단차가 존재하는 경우 고 단차 부분은 빨리 제거하고 저 단차 부분은 느리게 제거하는 특성을 보여준다. 그러므로, 고 평탄화도 슬러리가 적용된 웨이퍼의 표면은 높은 평탄화도를 나타내게 된다.
고 평탄화도 슬러리 또한 슬러리의 pH를 최적화하기 위하여 pH 조절제를 더 포함한다. pH 조절제로는 수산화칼륨(KOH), 수산화암모늄(NH4OH), TMAH 및 콜린하이드록사이드로 이루어진 그룹에서 선택된 염기 또는 황산, 염산, 인산 및 질산으로 이루어진 그룹에서 선택된 산이 적합하다.
이하에서는 본 발명의 일 실시예에 따른 고선택비 슬러리의 제조방법에 대하여 첨부한 도3을 참조하여 설명한다.
먼저, 슬러리의 원료로서, 초순수가 담겨있는 예비 혼합기(High Shear Mixer)내에 연마 입자를 투입한다(S30). 이어서, 슬러리의 원료인 초순수와 연마 입자를 예비 혼합한다(S32). 이때 연마 입자의 농도는 0.2 내지 2.5 중량% 범위, 바람직하기로는 0.5 내지 2.0 중량%의 범위를 유지하도록 혼합한다. 예비 혼합단계(S32)에서는 슬러리의 pH는 약 산성 내지 약 알칼리의 범위가 되도록 한다.
이어서 혼합된 슬러리는 펌프를 사용하여 적절한 분산장비(미디어밀(Media Mill) 혹은 초고압 분산장비)로 이송시킨 후 고압 분산한다(S34). 이때 분산장비는 어느 장비를 사용하여도 가능하지만, 분산 능력의 재현성과 분산 공정중 발생 가능한 오염의 최소화, 그리고 분산 후 평균 입도 및 평균 입도 분산성 등의 여러가지요소를 고려하여 초고압 분산장비를 사용하는 것이 바람직하다. 단, 초고압 분산장비의 핵심인 고경도 분산 챔버의 재질은 내구성을 고려하여 다이아몬드 재질의 챔버를 사용하는 것이 바람직하다. 고압 분산시 적절한 압력은 10,000 내지 20,000 psi가 바람직하다. 이 범위보다 낮은 압력에서 분산을 하면 분산효율이 충분하지 않으며, 이 범위보다 높은 압력에서는 장비 효율 및 챔버의 내구성에 좋지 않은 영향을 미치므로 상기 압력 범위에서 분산을 하는 것이 바람직하다.
이와 같이 초고압 분산을 거쳐 슬러리의 입도제어 공정을 한 후, 추가적으로 초순수를 가하여 원하는 연마 입자 농도로 조정할 수도 있다.
분산이 끝난 슬러리는 평균 입도 제어 공정이 마무리 되었으므로, 이 후 단계에서 슬러리의 특성에 필요한 첨가제들을 첨가한다(S36). 구체적으로, 슬러리의 선택비를 향상시키기 위해 음 이온성 폴리머 패시베이션제를 투입한다. 음 이온성 폴리머 패시베이션제는 0.4 내지 1.2 중량%가 되도록 첨가된다. 음 이온성 폴리머는 폴리카르복실산, 폴리에틸렌이민, 폴리비닐 술폰산, 폴리카르복실산염, 폴리에틸렌 이민염 또는 폴리비닐 술폰산염으로 이루어진 그룹에서 선택된 물질이 사용된다.
이어서 4차 아민을 첨가하여, 슬러리의 선택비를 증대시키기고 pH를 최적 범위로 세팅한다. 4차 아민은 0.2 내지 0.8 중량%로 첨가한다. 4차 아민의 첨가로 인해 슬러리의 pH가 폴리싱 대상막의 등전점보다 높고 폴리싱 스토퍼의 등전점보다 낮은 pH가 되도록 조절한다. 폴리싱 대상막이 산화막이고 폴리싱 스토퍼가 질화막인 경우에는 슬러리의 pH가 5 내지 9 바람직하기로는 7 내지 9가 되도록 한다.
한편, 4차 아민의 첨가만으로 최적 pH가 얻어지지 않을 경우에는 pH 조절제를 추가하여 pH를 세팅한다.
이어서, 슬러리에 대한 첨가 공정 및/또는 pH 조절이 끝난 후에는 필터를 사용하여 대형 파티클을 신속히 제거하는 슬러리 여과를 수행한다(S38). 상기 슬러리 여과 공정은 대형 파티클을 슬러리로부터 제거하여 폴리싱 면에서의 스크래치 발생을 줄이기 위해 실시한다. 상기 슬러리 여과 공정이 끝난 슬러리에 대해서 제반 물성 및 성능 특성을 시험하게 된다.
고 평탄화 슬러리의 경우에도 첨가제의 첨가 양만 다르게 하여 동일한 공정을 거쳐 제조한다.
본 발명에 따른 고 선택비 슬러리와 고 평탄화 슬러리는 필요에 따라 단독으로 또는 순차적으로 사용될 수 있다. 이하 도 4 및 도 5를 참조하여 본 발명의 실시예들에 따른 CMP 방법을 설명한다.
먼저, 도 4를 참조하면, CMP 장비는 폴리싱 패드(41)가 표면에 형성된 폴리싱 테이블(platen)(40)이 모터(미도시)에 의해 회전운동을 하는 제1 회전축(42)에 연결되어 회전운동을 하게된다. 폴리싱 패드(41) 위로는 CMP 공정을 적용될 기판(56)이 장착되는 폴리싱 헤드(54) 역시 모터(미도시)에 의해 회전운동을 하는 제2 회전축(52)에 의해 폴리싱 테이블(40)과 반대 방향으로 회전할 수 있도록 구성된다. 기판(56)은 폴리싱 헤드(54)의 표면에 클램프(54)에 의해 탈착가능하게 고정된다. 한편, 폴리싱 테이블(40)의 일측에는 슬러리 공급부(60)로부터 슬러리(62)가 공급되어진다.
도 4 및 도 5를 참조하여 CMP 공정을 구체적으로 설명하면, 평탄화 공정을 적용할 기판(56)과 그에 적합한 슬러리를 준비한다.(S70) 이어서 기판(56)을 폴리싱 헤드(50)에 장착한 후, 슬러리(62)를 공급한다.
기판(56) 상에 형성되어 폴리싱될 대상막이, 셀 트랜지스터들, 비트 라인들 및 커패시터들과 같은 하부 구조물들을 덮는 층간 절연막(InterLayer Dielectric: ILD), 메탈 라인 형성전 절연막 (PreMetal Dielectric: PMD) 혹은 메탈간 절연막(InterMetal Dielectric: IMD)과 같이 단차가 큰 막인 경우에는 0.2 내지 2.5 중량%의 금속 산화물 연마입자와 함께 1.6 내지 4.0 중량%의 음이온성 폴리머 패시베이션제를 포함하는 고 평탄화 슬러리(62)를 공급한다(S72).
이어서 기판(56)과 폴리싱 패드(41)를 접촉시킨 채로 기판(56)과 폴리싱 패드(56)를 상대적으로 이동시키면서 기판 표면의 ILD, PMD 또는 IMD 막의 적어도 일부를 제거한다.(S74)
한편, 기판(56) 상에 형성되어 폴리싱될 대상막이, 얕은 트렌치를 매립하는 산화막이고 산화막 하부에 실리콘 질화막 스토퍼가 형성되어 있는 경우 또는 DRAM의 소오스/드레인 영역을 노출시키는 자기 정렬 콘택홀이 형성될 ILD막으로 그 하부에 실리콘 질화막 스토퍼가 형성되어 있는 경우에는 0.2 내지 2.5 중량%의 금속 산화물 연마 입자와 함께 0.4 내지 1.2 중량%의 음이온성 폴리머 패시베이션제 및 4차 아민을 포함하는 고 선택비 슬러리를 공급한(S76) 후, 트렌치 매립 산화막 또는 ILD막의 적어도 일부를 제거한다.(S78)
바람직하기로는 폴리싱 스토퍼의 상면을 폴리싱의 종료점으로 사용하는 경우에는 고 평탄화 슬러리를 공급(S72)한 후, 폴리싱 대상막의 일부를 CMP(S74)하여 사전 평탄화를 실시하고, 계속해서 폴리싱 스토퍼에 대해 고 선택비를 가지는 슬러리를 공급(S76)한 후, CMP(S78)하면 디싱(Dishing)을 효과적으로 억제하면서 기판상의 균일도가 향상된 결과물을 얻을 수 있어서 공정 마진을 향상시킬 수 있다.
본 발명에 관한 보다 상세한 내용은 다음의 구체적인 실험예를 통하여 설명하며, 여기에 기재되지 않은 내용은 이 기술 분야에서 숙련된 자이면 충분히 기술적으로 유추할 수 있는 것이므로 설명을 생략한다.
<실험예 1>
도 3의 제조 방법에 따라 금속 산화물 연마 입자로 1중량%의 세리아를 포함하고, 음이온성 폴리머 패시베이션제로 암모늄 폴리카르복실레이트(APC)를 포함하되 APC의 함량이 서로 다른 복수개의 슬러리들을 제조하였다.
시편으로는 10000Å 두께의 산화막(PE-TEOS)가 형성된 블랭킷(blanket) 기판과 2000Å 두께의 실리콘 질화막(Si3N4)이 형성된 블랭킷 기판을 준비하였다.
아래 표 1과 같이 서로 다른 함량의 APC를 포함하는 슬러리들을 사용하여 시편들에 대하여 CMP 공정을 진행한 후, 제거율 및 실리콘 질화막에 대한 산화막의 선택비(Oxide to Nitride Selectivity Ratio)를 측정하였다. CMP는 IC1000 상부 패드와 Suba4 서브 패드가 장착된 AMAT사의 Mirra 장비를 사용하여 4psi 하향 압력(down pressure), 77rpm 테이블 속도로 수행하였다. 그 결과가 표 1에 기재되어 있으며, 표 1의 결과를 그래프로 도시한 도면이 도 6에 도시되어 있다.
[표 1]
APC 함량(wt%) 제거율(Å/분) 선택비
산화막(PE-TEOS) 실리콘질화막(Si3N4)
0 4716 964 4.9:1
0.2 4868 91 53.3:1
0.4 4390 61 71.6:1
0.6 3940 65 60.8:1
0.8 3886 72 54.2:1
1.2 3526 78 45.1:1
2.0 310 73 4.3:1
상기 표 1 및 도 6의 그래프로부터 알수 있듯이 APC가 첨가 안된 경우 실리콘질화막의 제거율이 964(Å/분)으로 높았으며, 그 결과 선택비가 4.9:1로 낮았다. 그러나, APC가 0.2 wt% 이상 첨가될 경우 산화막의 제거율은 변화하지 않으나 실리콘 질화막의 제거율은 급격하게 감소하여 결과적으로 선택비가 증가함을 알 수 있었다. 이는 도 1에 도시되어 있는 바와 같이 슬러리내에서 해리되어 형성된 음 전하의 폴리머들(20)이 실리콘 질화막(15)의 표면을 선택적으로 패시베이션시켜서 실리콘 질화막(15)의 제거를 막는 효과를 유도하기 때문으로 해석된다. 도 6의 그래프의 결과로부터 45:1 이상의 고 선택비를 얻기 위해서는 0.2 내지 1.2 중량%의 APC가 첨가되어야 함을 알 수 있다. 그리고, 적절한 산화막의 제거율을 고려할 때 0.4 내지 1.2 중량%의 APC가 첨가되는 것이 더욱 바람직함을 알 수 있다.
한편, APC의 함량이 1.6 중량% 이상으로 첨가되면 실리콘 질화막의 제거율은 커다란 변화가 없으나 산화막의 제거율이 2000(Å/분)으로 급감하는 경향을 나타냈다. 이는 과량의 APC가 질화막에 선택적인 패시베이션 역할을 할 뿐만 아니라 벌크상에도 존재하면서 연마입자의 활동을 억제하고 동시에 슬러리의 유동성에 영향을 주기 때문으로 해석된다.
<실험예 2>
슬러리내의 APC 농도를 0중량%인 경우와 0.8중량%인 경우에 대하여 각각 금속 산화물 연마 입자인 세리아의 함량을 1중량%와 5중량%로 다르게 하여 그 성능을 측정하였다. 시편으로는 실험예 1과 동일하게 산화막(PE-TEOS) 블랭킷 기판 및 실리콘 질화막(Si3N4) 블랭킷 기판을 준비하였다.
준비된 슬러리들과 시편을 사용하고, CMP 장비 및 공정 조건은 실험예 1과 동일하게 하여 CMP를 진행하여 산화막 및 실리콘 질화막의 제거율 및 선택비를 측정하였다. 그 결과가 아래 표2에 기재되어 있다.
[표 2]
세리아(중량%) APC(중량%) 제거율(Å/분) 선택비
산화막(PE-TEOS) 실리콘질화막(Si3N4)
1 0 4716 954 4.9:1
0.8 4618 89 52.2:1
5 0 8372 1686 5.0:1
0.8 6869 108 63.5:1
표 2의 결과로부터 알 수 있듯이 연마 입자의 함량이 증가할수록 산화막과 실리콘 질화막 제거율은 모두 증가하고 있으며 APC가 첨가되지 않은 경우의 선택비가 5:1 이하로 낮은 값을 나타내었다. 반면 슬러리에 0.8중량%의 APC가 첨가되었을 때에는 실리콘 질화막 제거율이 급격히 감소되어 선택비가 50:1 이상의 값을 나타내었다. 반면, 산화막 제거율의 증가는 연마 입자 함량의 증가에 대해서 원만하게 증가하는 경향을 나타냄을 알 수 있다. APC가 첨가되는 경우 연마 입자 함량이 높을수록 산화막 제거율 상승 효과가 질화막 제거율 상승 효과에 비해 크기 때문에 선택비가 증가하는 결과를 나타내었다. 그러나, 슬러리의 제조 단가가 연마 입자 함량에 크게 의존하므로 APC가 첨가되어 일정 수준 예컨대 45:1 이상의 산화막 제거율이 확보된다면 연마 입자의 함량은 최소화하는 것이 바람직하다. 따라서, 슬러리내의 연마 입자 함량은 0.2 내지 2.5 중량%가 바람직하고, 0.5 내지 2.0중량% 정도가 더욱 바람직하다.
<실험예 3>
도 3의 제조 방법에 따라 1중량%의 세리아를 포함하고, 1 중량% 의 APC를 포함하되, KOH, TMAH 및 콜린등과 같은 염기성 첨가제를 추가로 첨가하여 pH가 7.5로 조절된 복수개의 슬러리들을 준비하였다. 시편은 실험예 1과 동일하게 준비하였다.
준비된 슬러리들과 시편들을 사용하여 CMP 공정을 진행한 후, 실리콘 질화막에 대한 산화막의 선택비를 측정하였다. IC1000 상부 패드와 Suba4 서브 패드가 장착된 Presi사의 장비를 사용하여 5psi 하향 압력(down pressure), 65rpm 테이블 속도로 CMP 공정을 수행하였다. 그 결과가 표 3에 기재되어 있다.
[표 3]
염기성 첨가제 슬러리의 pH 선택비
none 7.2 1
KOH 7.5 1.08
TMAH 7.5 1.17
콜린 7.5 1.18
(※상기 선택비는 염기성 첨가제가 첨가되지 않은 경우의 선택비인 50:1을 1로 하여 표준화한 값이다.)
APC가 첨가되어 실리콘 질화막에 대한 고선택비 특성이 얻어진 슬러리에 염기를 첨가할 경우 선택비의 추가 상승이 일어남을 알 수 있었다. 이는 상기 반응식 2에 도시되어 있는 바와 같이 첨가된 염기에 의해 APC의 해리가 촉진되어 음전하의 폴리머를 다량으로 형성하여 패시베이션 능력을 증가시키기 때문인 것으로 해석된다. 또, 첨가된 염기들 중, TMAH와 콜린과 같은 4차 아민을 추가한 경우 선택비가 효과적으로 증가함을 알 수 있었다.
<실험예 4>
도 3의 제조 방법에 따라 1중량%의 세리아를 포함하고, 1 중량% 의 APC를 포함하되, 콜린의 함량이 서로 다른 복수개의 슬러리들을 준비하였다. 시편은 실험예 1과 동일하게 준비하였다.
준비된 슬러리들과 시편들을 사용하여 CMP 공정을 진행한 후, 산화막 및 실리콘 질화막의 제거율과 실리콘 질화막에 대한 산화막의 선택비를 측정하였다. 실험예 3과 동일한 장비 및 공정 조건을 사용하여 CMP를 실시하였다. 그 결과가 표 4에 기재되어 있다. 표 4의 결과에서 콜린의 함량과 pH 및 선택비의 관계를 나타낸 그래프가 도 7에 도시되어 있다.
[표 4]
콜린(wt%) 슬러리 pH 제거율(Å/분) 선택비
산화막(PE-TEOS) 실리콘질화막(Si3N4)
0 7.2 6530 90 72.5:1
0.2 7.5 6340 86 73.7:1
0.5 8.0 6498 76 85.5:1
1.0 8.7 5966 208 28.7:1
2.5 9.6 5384 1150 4.7:1
표 4의 결과로부터 콜린 함량이 증가함에 따라 선택비가 증가하다가 콜린 함량이 1.0중량% 이상이 되면 선택비가 급격히 감소하는 경향을 나타냄을 알 수 있다. 이는 콜린이 1.0중량% 이하로 첨가되었을 때에는 APC의 해리를 촉진하여 실리콘 질화막에 대한 패시베이션 기능을 강화하고 콜린 자체의 산화막 제거능력에 의해 산화막의 제거율이 증가하기 때문으로 해석된다. 그러나, 표 4 및 도 7의 결과와 같이 1.0중량% 이상의 콜린이 첨가되어 슬러리의 pH가 9를 넘어서면 선택비가 급감하는 것을 알 수 있다. 이는 슬러리의 pH가 증가하여 실리콘 질화막의 등전점을 넘어서면 실리콘 질화막의 표면이 음의 전하를 가지게 되므로 APC가 해리되어 형성된 음 전하의 폴리머와 실리콘 질화막간에 척력이 작용하여 패시베이션 기능을 못하기 때문으로 해석된다. 따라서, 4차 아민의 첨가량은 0.1 내지 1.0중량%에서 효과적이고, 목표로 하는 선택비를 고려하면 0.2 내지 0.8 중량%가 더욱 효과적이다.
<실험예 5>
도 3의 제조 방법에 따라 1중량%의 세리아와 1.2중량%의 APC를 포함하는 고선택비 슬러리 A와 종래의 슬러리 B를 준비하였다.
시편으로는 총 39개의 칩으로 구성되며, 0.15㎛급의 SRAM STI 형성 공정이 적용될 기판을 준비하였다. 먼저, 도 8에 도시된 바와 같이, 기판(100) 전면에 패드 산화막(102) 및 실리콘 질화막(104)을 증착하였다. 실리콘 질화막(104)은 디클로로실란과 암모니아(NH3) 가스를 반응가스로 사용하고 수백 mTorr 이하의 압력 조건을 사용하는 LPCVD(Low Pressure Chemical Vapor Deposition)법 또는 고온(약 500-600℃) PECVD(Plasma Enhanced Chemical Vapor Deposition)법에 의해 형성하였다. 이어서, 트렌치 영역을 정의하는 포토레지스트 패턴(106)을 형성한 뒤, 이를 식각 마스크로 이용하여 실리콘 질화막(104)을 식각하여 하드 마스크 및 폴리싱 스토퍼로 기능하는 실리콘 질화막 패턴(104)을 형성하였다. 이어서, 도 9에 도시된바와 같이, 포토레지스트 패턴(106)을 제거한 뒤, 실리콘 질화막 패턴(104)을 식각 마스크로 사용하여 패드 산화막(102) 및 기판(100)을 부분적으로 식각하여 다수의 트렌치(107)들을 형성하였다. 이어서, 도 10에 도시된 바와 같이, 트렌치(107)들을 채우고 실리콘 질화막 패턴(104)의 표면을 덮도록 산화막(108)을 형성하였다. 산화막(108)은 PECVD법에 의해 PE-TEOS막으로 형성하였다. 준비된 슬러리와 시편들을 사용하되, 시편의 실리콘 질화막 패턴(104)을 폴리싱 스토퍼로 하여 CMP를 진행하였다. CMP 공정은 IC1000 상부 패드와 Suba4 서브 패드가 장착된 Strasbaugh사의 6ED 장비를 사용하여 수행하였다. 그 결과 도 11과 같은 최종 결과물을 얻었다. 얻어진 결과물에 대하여 폴리싱 스토퍼인 질화막 패턴(104)의 잔류 두께를 측정하여 평균 두께 및 두께 편차 그리고 100㎛ ×100㎛ 크기에서 디싱 깊이를 측정하였다. 그 결과가 표 5에 기재되어 있다. 도 12는 CMP 후 잔류하는 질화막 패턴(104)의 두께를 측정한 결과를 나타낸다. ■는 시편 1, ◆ 는 시편 2, ○는 시편 3의 결과를 각각 나타낸다.
[표 5]
시편 슬러리 공정조건(하향압력-테이블 속도) 잔류질화막패턴두께 평균(Å) 잔류질화막패턴두께 편차(Å) 디싱 깊이(Å)
1 A 6psi-45rpm 870 56 478
2 A 9psi-45rpm 848 22 820
3 B 9psi-45rpm 821 315 600
표 5 및 도 12의 결과로부터 알 수 있듯이, 본 발명에 따른 슬러리 A를 사용할 경우 질화막의 두께 편차가 56Å 및 22Å의 낮은 값을 나타내었다. 특히 슬러리 A를 하향 압력 6psi 테이블 속도 45rpm으로 할 경우 질화막의 두께 편차가 종래의슬러리 B를 사용할 경우의 편차의 7% 정도로 작았다. 따라서, 본 발명에 따른 슬러리 A가 고 선택비 슬러리임을 확인할 수 있었다. 또, CMP 공정 조건에 따라서는 본 발명의 슬러리가 디싱의 발생도 최소화할 수 있음을 알 수 있었다.
<실험예 6>
도 3의 제조 방법에 따라 1중량%의 세리아와 2.0중량%의 APC를 포함하는 슬러리 A와 종래의 슬러리 B를 준비하였다.
한편, 하부 구조물들이 형성되어 초기 단차가 14000Å인 0.12㎛급 DRAM 소자의 웨이퍼 전면에 PE-TEOS 막을 23000Å 두께로 형성하여 시편을 준비하였다.
준비된 슬러리와 시편들을 사용하여 CMP를 진행하였다. CMP 공정은 IC1000 상부 패드와 Suba4 서브 패드가 장착된 AMAT사의 Mirra 장비를 사용하여 4psi 하향 압력(down pressure), 77rpm 테이블 속도로 수행하였다. 그 결과가 아래 표 6에 기재되어 있다.
[표 6]
슬러리 PE-TEOS 제거율(Å/분) CMP 후 잔류하는 산화막 두께 편차(Å)
A 645 1050
B 3200 4694
상기 표 6의 결과로부터 알 수 있듯이, 본 발명에 따른 슬러리 A의 경우 종래의 슬러리 B에 비해 산화막(PE-TEOS)의 제거율은 낮으나 CMP 후의 잔류하는 산화막의 두께 편차가 매우 작다. 즉, 고단차 부분을 효과적으로 제거하여 고 평탄화도를 유도할 수 있음을 알 수 있다. 따라서 본 발명의 고 평탄화도 슬러리를 사용하면 평탄화 능력이 우수하므로 폴리싱될 산화막의 증착 두께를 낮출 수 있어서 공정퍼포먼스의 향상과 함께 증착 공정의 비용도 낮출 수 있다.
<실험예 7>
도 3의 제조 방법에 따라 1중량%의 세리아, 1중량%의 APC 및 0.6 중량%의 콜린을 포함하고 pH 7.6 내지 8.0인 본 발명의 고선택비 슬러리와 1 중량%의 세리아 및 2 중량%의 APC를 포함하는 고 평탄화도 슬러리를 준비하였다.
시편은 0.12㎛급의 DRAM STI 형성 공정이 적용될 기판을 준비하였다. 구체적으로 트렌치(107)를 형성하는 단계까지는 실험예 5에서 설명한 바와 동일하게 형성하되 디자인 룰만 작게 형성하였다. 이어서, 트렌치(107)를 매립하는 산화막(108)을 형성하였다. 산화막(108)은 HDPCVD 법에 의해 3800Å 두께로 형성하여 도 10에 도시된 바와 같은 시편을 준비하였다.
먼저 고 평탄화도 슬러리를 사용하여 폴리싱 스토퍼(104) 상에 약 1500Å 두께의 산화막이 잔류하도록 사전 평탄화(pre-planarization) CMP 공정을 실시하였다. 사전 평탄화 공정은 IC1000 상부 패드와 Suba4 서브 패드가 장착된 AMAT사의 Mirra 장비를 사용하여 4psi 하향 압력(down pressure), 77rpm 테이블 속도로 진행하여 도 13과 같은 중간 결과물을 얻었다.
이어서, 고 선택비를 슬러리를 사용하여 최종 CMP 공정을 실시하였다. 그 결과 도 14와 같이 STI 공정을 완료할 수가 있었다.
한편, 산화막(108)을 HDPCVD 법에 의해 5500Å 두께로 형성한 다른 시편에 대해 종래의 슬러리를 사용하여 IC1000 상부 패드와 Suba4 서브 패드가 장착된 AMAT사의 Mirra 장비를 사용하여 4psi 하향 압력(down pressure), 77rpm 테이블 속도로 CMP 공정을 실시하였다.
CMP 공정이 완료된 시편들의 잔류 폴리싱 스토퍼막의 두께 편차 및 100㎛ ×100㎛ 크기에서 디싱 깊이를 측정하였다. 그 결과가 아래 표 7에 기재되어 있다.
[표 7]
CMP 방법 HDP 산화막 증착두께 (Å) 잔류 폴리싱 스토퍼 두께 편차(Å) 디싱 깊이(Å)
종래 5500 55 630
본발명(고평탄화도 슬러리+ 고선택비 슬러리) 3800 65 567
상기 표 7의 결과로부터 알 수 있듯이 본 발명에 의한 CMP 공정의 경우 사전 평탄화에 의해 단차를 대부분을 제거한 후, 질화막 폴리싱 스토퍼(104)에 대하여 높은 선택비로 잔류 산화막(108A)을 제거할 수 있으므로 우수한 기판 상의 균일도를 달성할 수가 있으며 디싱의 발생도 최소화할 수 있다. 그리고, 종래의 산화막 증착 두께에 비해 적은 두께로 산화막을 증착하여도 잔류 폴리싱 스토퍼의 두께는 종래와 유의차가 없고 디싱의 발생을 최소화할 수 있다.
본 발명은 고 선택비 및/또는 고 평탄화도 슬러리를 제공하는 것을 가능하게 한다. 본 발명의 고 선택비 슬러리를 사용할 경우 스토퍼의 두께 변화폭이 매우 작아서 웨이퍼 상의 균일도가 우수해지고 디싱이 발생하지 않는다. 따라서 후속 소자 제조 공정의 마진을 증대시킨다. 특히, 고 평탄화 슬러리와 고 선택비 슬러리를 조합하여 사용하여 폴리싱 스토퍼와 폴리싱 대상막을 구비하는 기판의 CMP에 적용할 경우 폴리싱 대상막의 증착 두께를 종래에 비하여 감소시킬 수 있으며 CMP후 균일도를 향상시킬 수 있다. 또, 디싱과 같은 문제점도 방지할 수 있다.

Claims (53)

  1. 폴리싱 스토퍼 및 상기 폴리싱 스토퍼를 덮는 폴리싱 대상막을 구비하는 기판의 화학 기계적 폴리싱에 사용되는 슬러리 키트로,
    (1) 적어도 1종 이상의 금속 산화물 연마 입자 및 음이온성 폴리머 패시베이션제를 포함하는 수용성 제1 슬러리제; 1
    (2) 상기 적어도 1종 이상의 금속 산화물 연마 입자, 상기 제1 슬러리제에 포함된 패시베이션제의 함량보다 작은 함량의 상기 패시베이션제를 포함하며, 상기 폴리싱 대상막의 등전점보다 높고 상기 폴리싱 스토퍼의 등전점보다 낮은 pH를 지니는 수용성 제2 슬러리제를 포함하는 것을 특징으로 하는 슬러리 키트.
  2. 제1 항에 있어서, 상기 패시베이션제는 폴리카르복실산, 폴리에틸렌이민, 폴리비닐 술폰산, 폴리카르복실산염, 폴리에틸렌 이민염 또는 폴리비닐 술폰산염인 것을 특징으로 하는 슬러리 키트.
  3. 제1 항 또는 제2 항에 있어서, 상기 제1 슬러리제 내의 상기 패시베이션제의 함량은 1.6 내지 4.0 중량%이고, 상기 제2 슬러리제내의 상기 패시베이션제의 함량은 0.4 내지 1.2중량%인 것을 특징으로 하는 슬러리 키트.
  4. 제3 항에 있어서, 상기 제1 슬러리제 내의 상기 패시베이션제의 함량은 1.6 내지 2.1중량%인 것을 특징으로 하는 슬러리 키트.
  5. 제1 항에 있어서, 상기 제2 슬러리제는 4차 아민, 이의 염 또는 이의 유도체를 더 포함하는 것을 특징으로하는 슬러리 키트.
  6. 제5 항에 있어서, 상기 4차 아민은 테트라메틸암모늄하이드록사이드 또는 콜린인 것을 특징으로 하는 슬러리 키트.
  7. 제5항 또는 제6 항에 있어서, 상기 4차 아민, 이의 염 또는 이의 유도체 함량은 0.2 내지 0.8 중량%인 것을 특징으로 하는 슬러리 키트.
  8. 제1 항에 있어서, 상기 제2 슬러리제는 pH 조절제를 더 포함하는 것을 특징으로 하는 슬러리 키트.
  9. 제8 항에 있어서, 상기 pH 조절제는 수산화칼륨, 수산화암모늄, 테트라메틸암모늄 하이드록사이드 또는 콜린인 것을 특징으로 하는 슬러리 키트.
  10. 제1 항에 있어서, 상기 연마 입자는 세리아, 실리카, 알루미나, 티타니아, 지르코니아 또는 게르마니아인 것을 특징으로 하는 슬러리 키트.
  11. 제1 항 또는 제10 항에 있어서, 상기 연마 입자의 함량은 0.5 내지 2.0중량%인 것을 특징으로 하는 슬러리 키트.
  12. 제1 항에 있어서, 상기 제2 슬러리제의 pH는 5 내지 9인 것을 특징으로 하는 슬러리 키트.
  13. 제12 항에 있어서, 상기 제2 슬러리제의 pH는 7 내지 9인 것을 특징으로 하는 슬러리 키트.
  14. 제1 항에 있어서, 상기 폴리싱 대상막은 산화막이고, 상기 폴리싱 스토퍼는 실리콘 질화막으로 구성된 것을 특징으로 하는 슬러리 키트.
  15. 제14 항에 있어서, 상기 산화막은 HDPCVD 또는 PECVD 산화막이고, 상기 실리콘 질화막은 LPCVD 실리콘 질화막 또는 고온 PECVD 실리콘 질화막인 것을 특징으로 하는 슬러리 키트.
  16. 폴리싱 스토퍼 및 상기 폴리싱 스토퍼를 덮는 폴리싱 대상막을 구비하는 기판의 화학 기계적 폴리싱에 사용되는 슬러리로,
    적어도 1종 이상의 금속 산화물 연마 입자;
    음이온성 폴리머 패시베이션제;
    4차 아민, 이의 염 또는 이의 유도체; 및
    pH 조절제를 포함하며, 상기 폴리싱 대상막의 등전점보다 높고 상기 폴리싱 스토퍼의 등전점보다 낮은 pH를 지녀서 상기 폴리싱 대상막을 선택적으로 폴리싱하는 수용성 슬러리.
  17. 제16 항에 있어서, 상기 패시베이션제는 폴리카르복실산, 폴리에틸렌이민, 폴리비닐 술폰산, 폴리카르복실산염, 폴리에틸렌 이민염 또는 폴리비닐 술폰산염인 것을 특징으로 하는 슬러리.
  18. 제16 항 또는 제 17항에 있어서, 상기 패시베이션제의 함량은 0.4 내지 1.2중량%인 것을 특징으로 하는 슬러리.
  19. 제16 항에 있어서, 상기 4차 아민은 테트라메틸암모늄하이드록사이드 또는 콜린인 것을 특징으로 하는 슬러리
  20. 제16 항 또는 제19 항에 있어서, 상기 4차 아민, 이의 염 또는 이의 유도체의 함량은 0.2 내지 0.8 중량%인 것을 특징으로 하는 슬러리
  21. 제16 항에 있어서, 상기 pH 조절제는 수산화칼륨, 수산화암모늄, 테트라메틸암모늄하이드록사이드 또는 콜린인 것을 특징으로 하는 슬러리
  22. 제16 항에 있어서, 상기 연마 입자는 세리아, 실리카, 알루미나, 티타니아, 지르코니아 또는 게르마니아인 것을 특징으로 하는 슬러리.
  23. 제16 항 또는 제22 항에 있어서, 상기 연마 입자의 함량은 0.5 내지 2.0중량%인 것을 특징으로 하는 슬러리.
  24. 제16 항에 있어서, 상기 슬러리의 pH는 5 내지 9인 것을 특징으로 하는 슬러리.
  25. 제24 항에 있어서, 상기 슬러리의 pH는 7 내지 9인 것을 특징으로 하는 슬러리.
  26. 제16 항에 있어서, 상기 폴리싱 대상막은 산화막이고, 상기 폴리싱 스토퍼는 실리콘 질화막으로 구성된 것을 특징으로 하는 슬러리.
  27. 제26 항에 있어서, 상기 산화막은 HDPCVD 또는 PECVD 산화막이고, 상기 실리콘 질화막은 LPCVD 실리콘 질화막 또는 고온 PECVD 실리콘 질화막인 것을 특징으로 하는 슬러리.
  28. 폴리싱 스토퍼 및 상기 폴리싱 스토퍼를 덮는 폴리싱 대상막을 구비하는 기판과 연마 패드 사이로 적어도 1종 이상의 금속 산화물 연마 입자 및 음이온성 폴리머 패시베이션제를 포함하는 수용성 제1 슬러리제를 공급하면서 상기 기판과 연마 패드를 접촉시킨 채로 상기 기판과 상기 연마 패드를 상대적으로 이동시켜 상기 기판 표면의 폴리싱 대상막의 적어도 일부를 제거하는 단계; 및
    상기 기판과 상기 연마 패드 사이로 상기 적어도 1종 이상의 금속 산화물 연마 입자, 상기 제1 슬러리제에 포함된 패시베이션제의 함량보다 작은 함량의 상기 패시베이션제를 포함하며, 상기 폴리싱 대상막의 등전점보다 높고 상기 폴리싱 스토퍼의 등전점보다 낮은 pH를 지니는 수용성 제2 슬러리제를 공급하면서 상기 기판과 연마패드를 접촉시킨 채로 상기 기판과 연마패드를 상대적으로 이동시키되 상기 폴리싱 스토퍼막의 표면이 노출될 때까지 상기 폴리싱 대상막을 제거하는 단계를 포함하는 것을 특징으로 하는 화학 기계적 연마 방법.
  29. 제28 항에 있어서, 상기 폴리싱 대상막은 트렌치를 매립하는 절연막 또는 하부 구조물들이 형성된 기판 상에 형성된 층간 절연막인 것을 특징으로 하는 화학 기계적 연마 방법.
  30. 제28 항 또는 제29항에 있어서, 상기 폴리싱 대상막은 산화막이고, 상기 폴리싱 스토퍼는 실리콘 질화막으로 구성된 것을 특징으로 하는 화학 기계적 연마 방법.
  31. 제30 항에 있어서, 상기 산화막은 HDPCVD 또는 PECVD 산화막이고, 상기 실리콘 질화막은 LPCVD 실리콘 질화막 또는 고온 PECVD 실리콘 질화막인 것을 특징으로 하는 화학 기계적 연마 방법.
  32. 제28 항에 있어서, 상기 패시베이션제는 폴리카르복실산, 폴리에틸렌이민, 폴리비닐 술폰산, 폴리카르복실산염, 폴리에틸렌 이민염 또는 폴리비닐 술폰산염인 것을 특징으로 하는 화학 기계적 연마 방법.
  33. 제28 항 또는 제32 항에 있어서, 상기 제1 슬러리제 내의 상기 패시베이션제의 함량은 1.6 내지 4.0 중량%이고, 상기 제2 슬러리제 내의 상기 패시베이션제의 함량은 0.4 내지 1.2중량%인 것을 특징으로 하는 화학 기계적 연마 방법.
  34. 제28 항에 있어서, 상기 제2 슬러리제는 4차 아민, 이의 염 또는 이의 유도체를 더 포함하는 것을 특징으로 하는 화학 기계적 연마 방법.
  35. 제34 항에 있어서, 상기 4차 아민은 테트라메틸암모늄하이드록사이드 또는 콜린인 것을 특징으로 하는 화학 기계적 연마 방법.
  36. 제34 항 또는 제35 항에 있어서, 상기 4차 아민, 이의 염 또는 이의 유도체 의 함량은 0.2 내지 0.8 중량%인 것을 특징으로 하는 화학 기계적 연마 방법.
  37. 제28 항에 있어서, 상기 제2 슬러리제는 pH 조절제를 더 포함하는 것을 특징으로 하는 화학 기계적 연마 방법.
  38. 제37 항에 있어서, 상기 pH 조절제는 수산화칼륨, 수산화암모늄, 테트라메틸암모늄 하이드록사이드 또는 콜린인 것을 특징으로 하는 화학 기계적 연마 방법.
  39. 제28 항에 있어서, 상기 연마 입자는 세리아, 실리카, 알루미나, 티타니아, 지르코니아 또는 게르마니아인 것을 특징으로 하는 화학 기계적 연마 방법.
  40. 제28 항 또는 제39 항에 있어서, 상기 연마 입자의 함량은 0.5 내지 2.0중량%인 것을 특징으로 하는 화학 기계적 연마 방법.
  41. 제28 항에 있어서, 상기 제2 슬러리제의 pH는 5 내지 9인 것을 특징으로 하는 화학 기계적 연마 방법.
  42. 폴리싱 스토퍼 및 상기 폴리싱 스토퍼를 덮는 폴리싱 대상막을 구비하는 기판과 연마 패드 사이로 적어도 1종 이상의 금속 산화물 연마 입자, 음이온성 폴리머 패시베이션제, 4차 아민, 이의 염 또는 이의 유도체 및 pH 조절제를 포함하며, 상기 폴리싱 대상막의 등전점보다 높고 상기 폴리싱 스토퍼의 등전점보다 낮은 pH를 지녀서 상기 폴리싱 대상막을 선택적으로 폴리싱하는 수용성 슬러리를 공급하면서 상기 기판과 상기 연마 패드를 접촉시킨 채로 상기 기판과 상기 연마 패드를 상대적으로 이동시키되 상기 폴리싱 스토퍼의 표면이 노출될 때까지 상기 폴리싱 대상막을 제거하는 단계를 포함하는 것을 특징으로 하는 화학 기계적 연마 방법.
  43. 제42 항에 있어서, 상기 폴리싱 대상막은 트렌치를 매립하는 절연막 또는 하부 구조물들이 형성된 기판 상에 형성된 층간 절연막인 것을 특징으로 하는 화학 기계적 연마 방법.
  44. 제42 항 또는 제43 항에 있어서, 상기 폴리싱 대상막은 산화막이고, 상기 폴리싱 스토퍼는 실리콘 질화막으로 구성된 것을 특징으로 하는 화학 기계적 연마 방법.
  45. 제44 항에 있어서, 상기 산화막은 HDPCVD 또는 PECVD 산화막이고, 상기 실리콘 질화막은 LPCVD 실리콘 질화막 또는 고온 PECVD 실리콘 질화막인 것을 특징으로 하는 화학 기계적 연마 방법.
  46. 제42 항에 있어서, 상기 패시베이션제는 폴리카르복실산, 폴리에틸렌이민,폴리비닐 술폰산, 폴리카르복실산염, 폴리에틸렌 이민염 또는 폴리비닐 술폰산염인 것을 특징으로 하는 화학 기계적 연마 방법.
  47. 제42 항 또는 제46 항에 있어서, 상기 패시베이션제의 함량은 0.4 내지 1.2중량%인 것을 특징으로 하는 화학 기계적 연마 방법.
  48. 제42 항에 있어서, 상기 4차 아민은 테트라메틸암모늄하이드록사이드 또는 콜린인 것을 특징으로 하는 화학 기계적 연마 방법.
  49. 제42 항 또는 제48 항에 있어서, 상기 4차 아민, 이의 염 또는 이의 유도체의 함량은 0.2 내지 0.8 중량%인 것을 특징으로 하는 화학 기계적 연마 방법.
  50. 제42 항에 있어서, 상기 pH 조절제는 수산화칼륨, 수산화암모늄, 테트라메틸암모늄 하이드록사이드 또는 콜린인 것을 특징으로 하는 화학 기계적 연마 방법.
  51. 제42 항에 있어서, 상기 연마 입자는 세리아, 실리카, 알루미나, 티타니아, 지르코니아 또는 게르마니아인 것을 특징으로 하는 화학 기계적 연마 방법.
  52. 제42 항 또는 제51 항에 있어서, 상기 연마 입자의 함량은 0.5 내지 2.0중량%인 것을 특징으로 하는 화학 기계적 연마 방법.
  53. 제42 항에 있어서, 상기 슬러리의 pH는 5 내지 9인 것을 특징으로 하는 화학 기계적 연마 방법.
KR10-2002-0010928A 2002-02-28 2002-02-28 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법 KR100442873B1 (ko)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR10-2002-0010928A KR100442873B1 (ko) 2002-02-28 2002-02-28 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법
CNB03103408XA CN1288722C (zh) 2002-02-28 2003-01-27 化学机械抛光浆料和使用该浆料的化学机械抛光方法
JP2003050920A JP4537010B2 (ja) 2002-02-28 2003-02-27 化学機械的ポリシングスラリー及びこれを用いた化学機械的研磨方法
US10/378,102 US6887137B2 (en) 2002-02-28 2003-02-28 Chemical mechanical polishing slurry and chemical mechanical polishing method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0010928A KR100442873B1 (ko) 2002-02-28 2002-02-28 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법

Publications (2)

Publication Number Publication Date
KR20030071286A true KR20030071286A (ko) 2003-09-03
KR100442873B1 KR100442873B1 (ko) 2004-08-02

Family

ID=27785959

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0010928A KR100442873B1 (ko) 2002-02-28 2002-02-28 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법

Country Status (4)

Country Link
US (1) US6887137B2 (ko)
JP (1) JP4537010B2 (ko)
KR (1) KR100442873B1 (ko)
CN (1) CN1288722C (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101285948B1 (ko) * 2009-07-21 2013-07-12 한양대학교 산학협력단 멀티 선택비를 갖는 연마 슬러리 조성물 및 이를 사용한 반도체 소자 제조방법
CN114083430A (zh) * 2021-11-10 2022-02-25 南通大学 一种精确获得晶片双面研磨中上下面去除量的有效方法
KR20220087083A (ko) * 2020-12-17 2022-06-24 에스케이실트론 주식회사 연마장치 및 웨이퍼의 연마방법

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6953389B2 (en) * 2001-08-09 2005-10-11 Cheil Industries, Inc. Metal CMP slurry compositions that favor mechanical removal of oxides with reduced susceptibility to micro-scratching
KR100518536B1 (ko) * 2002-08-07 2005-10-04 삼성전자주식회사 반도체 소자의 표면 평탄화 방법과 그에 따라 제조된반도체 소자
US7199018B2 (en) * 2003-04-30 2007-04-03 Macronix International Co., Ltd. Plasma assisted pre-planarization process
EP1477538B1 (en) * 2003-05-12 2007-07-25 JSR Corporation Chemical mechanical polishing agent kit and chemical mechanical polishing method using the same
US20050022456A1 (en) * 2003-07-30 2005-02-03 Babu S. V. Polishing slurry and method for chemical-mechanical polishing of copper
US7186653B2 (en) 2003-07-30 2007-03-06 Climax Engineered Materials, Llc Polishing slurries and methods for chemical mechanical polishing
US7109117B2 (en) * 2004-01-14 2006-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for chemical mechanical polishing of a shallow trench isolation structure
JP4532149B2 (ja) * 2004-03-30 2010-08-25 ニッタ・ハース株式会社 シリコンウエハ研磨用組成物およびシリコンウエハの研磨方法
US8592314B2 (en) 2005-01-24 2013-11-26 Showa Denko K.K. Polishing composition and polishing method
KR101134590B1 (ko) * 2005-03-28 2012-04-09 삼성코닝정밀소재 주식회사 분산 안정성이 우수한 연마 슬러리의 제조방법
KR100734305B1 (ko) * 2006-01-17 2007-07-02 삼성전자주식회사 디싱 현상 없이 평탄화된 막을 구비하는 반도체 소자의제조방법 및 그에 의해 제조된 반도체 소자
US20070209287A1 (en) * 2006-03-13 2007-09-13 Cabot Microelectronics Corporation Composition and method to polish silicon nitride
US8759216B2 (en) * 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
KR100813100B1 (ko) * 2006-06-29 2008-03-17 성균관대학교산학협력단 실시간 확장 가능한 스테레오 매칭 시스템 및 방법
US7696095B2 (en) * 2007-02-23 2010-04-13 Ferro Corporation Auto-stopping slurries for chemical-mechanical polishing of topographic dielectric silicon dioxide
US7803711B2 (en) * 2007-09-18 2010-09-28 Cabot Microelectronics Corporation Low pH barrier slurry based on titanium dioxide
US20090090696A1 (en) * 2007-10-08 2009-04-09 Cabot Microelectronics Corporation Slurries for polishing oxide and nitride with high removal rates
TWI480258B (zh) 2008-03-28 2015-04-11 Asahi Kasei Finechem Co Ltd Vinyl sulfonic acid, a polymer thereof and a process for producing the same
KR101178715B1 (ko) 2008-12-18 2012-08-31 제일모직주식회사 금속 배선 연마용 cmp 슬러리 조성물
CN102744668B (zh) * 2011-04-20 2015-04-29 中芯国际集成电路制造(上海)有限公司 抛光方法以及浮栅的形成方法
JP5957292B2 (ja) * 2012-05-18 2016-07-27 株式会社フジミインコーポレーテッド 研磨用組成物並びにそれを用いた研磨方法及び基板の製造方法
JP5942773B2 (ja) * 2012-10-19 2016-06-29 旭硝子株式会社 ガラス基板の研磨方法
JP5942774B2 (ja) * 2012-10-19 2016-06-29 旭硝子株式会社 ガラス基板の研磨方法
US8974692B2 (en) * 2013-06-27 2015-03-10 Air Products And Chemicals, Inc. Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
CN113579992A (zh) 2014-10-17 2021-11-02 应用材料公司 使用加成制造工艺的具复合材料特性的cmp衬垫建构
CN113103145B (zh) * 2015-10-30 2023-04-11 应用材料公司 形成具有期望ζ电位的抛光制品的设备与方法
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. ABRASIVE DISTRIBUTION POLISHING PADS AND METHODS OF MAKING SAME
JP2022549517A (ja) * 2019-09-30 2022-11-25 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 低ディッシングの、銅の化学機械平坦化
US11878389B2 (en) 2021-02-10 2024-01-23 Applied Materials, Inc. Structures formed using an additive manufacturing process for regenerating surface texture in situ

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5958794A (en) * 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
US5738800A (en) * 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5759917A (en) * 1996-12-30 1998-06-02 Cabot Corporation Composition for oxide CMP
JP3164213B2 (ja) * 1998-08-20 2001-05-08 日本電気株式会社 埋め込み金属配線の形成方法
US6238592B1 (en) * 1999-03-10 2001-05-29 3M Innovative Properties Company Working liquids and methods for modifying structured wafers suited for semiconductor fabrication
JP2001044272A (ja) * 1999-07-27 2001-02-16 Matsushita Electronics Industry Corp 半導体装置の製造方法
EP1252651A2 (en) * 1999-12-07 2002-10-30 Cabot Microelectronics Corporation Chemical-mechanical polishing method
JP3450247B2 (ja) * 1999-12-28 2003-09-22 Necエレクトロニクス株式会社 金属配線形成方法
US6540935B2 (en) * 2001-04-05 2003-04-01 Samsung Electronics Co., Ltd. Chemical/mechanical polishing slurry, and chemical mechanical polishing process and shallow trench isolation process employing the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101285948B1 (ko) * 2009-07-21 2013-07-12 한양대학교 산학협력단 멀티 선택비를 갖는 연마 슬러리 조성물 및 이를 사용한 반도체 소자 제조방법
KR20220087083A (ko) * 2020-12-17 2022-06-24 에스케이실트론 주식회사 연마장치 및 웨이퍼의 연마방법
CN114083430A (zh) * 2021-11-10 2022-02-25 南通大学 一种精确获得晶片双面研磨中上下面去除量的有效方法
CN114083430B (zh) * 2021-11-10 2024-02-09 南通大学 一种精确获得晶片双面研磨中上下面去除量的有效方法

Also Published As

Publication number Publication date
JP2004031905A (ja) 2004-01-29
KR100442873B1 (ko) 2004-08-02
CN1441017A (zh) 2003-09-10
CN1288722C (zh) 2006-12-06
US6887137B2 (en) 2005-05-03
JP4537010B2 (ja) 2010-09-01
US20030166381A1 (en) 2003-09-04

Similar Documents

Publication Publication Date Title
KR100442873B1 (ko) 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법
KR100464429B1 (ko) 화학 기계적 폴리싱 슬러리 및 이를 사용한 화학 기계적폴리싱 방법
US6540935B2 (en) Chemical/mechanical polishing slurry, and chemical mechanical polishing process and shallow trench isolation process employing the same
JP6030703B2 (ja) 誘電性CMPスラリーにおけるCsOHの使用
US7718535B2 (en) Slurry compositions and CMP methods using the same
US7314578B2 (en) Slurry compositions and CMP methods using the same
JP3207178B2 (ja) 高選択性を有するスラリ及び複合材料基板の化学機械研磨方法
KR100661273B1 (ko) 고단차 산화막의 평탄화를 위한 화학기계적 연마조성물
US7300877B2 (en) Method of manufacturing a semiconductor device
US7708900B2 (en) Chemical mechanical polishing slurry compositions, methods of preparing the same and methods of using the same
KR101037526B1 (ko) 화학적 기계적 연마 조성물 및 이를 이용한 반도체 소자의 제조 방법
WO2000002235A1 (en) Method of planarizing integrated circuits
US8512593B2 (en) Chemical mechanical polishing slurry compositions, methods of preparing the same and methods of using the same
EP2092034B1 (en) Chemical mechanical polishing slurry compositions, methods of preparing the same and methods of using the same
US20080096385A1 (en) Slurry composition for forming tungsten pattern and method for manufacturing semiconductor device using the same
KR20030089360A (ko) 산화막용 cmp 슬러리 및 이를 이용한 반도체 소자의형성 방법
US20060189152A1 (en) Slurry composition, method of polishing an object and method of forming a contact in a semiconductor device using the slurry composition
KR100684877B1 (ko) 슬러리 조성물 및 이를 이용한 화학적 기계적 연마 공정을포함하는 반도체 소자 제조 방법
US7857986B2 (en) Chemical mechanical polishing slurry and chemical mechanical polishing apparatus and method
JPWO2004100243A1 (ja) ナノトポグラフィ効果を補償し得る化学機械的研磨用スラリー組成物およびこれを利用した半導体素子の表面平坦化方法
KR20070003145A (ko) 반도체 소자의 제조방법
JP2001057352A (ja) 基板の研磨方法
KR20200073479A (ko) 연마 슬러리 조성물
KR20030092315A (ko) 화학기계적 연마용 슬러리 및 그 제조방법
JP2004006965A (ja) 基板の研磨法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090714

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee