JP3207178B2 - 高選択性を有するスラリ及び複合材料基板の化学機械研磨方法 - Google Patents

高選択性を有するスラリ及び複合材料基板の化学機械研磨方法

Info

Publication number
JP3207178B2
JP3207178B2 JP5812699A JP5812699A JP3207178B2 JP 3207178 B2 JP3207178 B2 JP 3207178B2 JP 5812699 A JP5812699 A JP 5812699A JP 5812699 A JP5812699 A JP 5812699A JP 3207178 B2 JP3207178 B2 JP 3207178B2
Authority
JP
Japan
Prior art keywords
film
slurry
polishing
chemical mechanical
triethanolamine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP5812699A
Other languages
English (en)
Other versions
JPH11330025A (ja
Inventor
ドナルド・フランシス・カナペリ
ランガーラージャン・ジャガンナタン
マハーデヴィイエル・クリシュナン
クリフォード・オーウェン・モーガン
テランス・モンテ・ライト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH11330025A publication Critical patent/JPH11330025A/ja
Application granted granted Critical
Publication of JP3207178B2 publication Critical patent/JP3207178B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般に、表面に異
なる材料を付着させた基板を選択的に研磨するためのス
ラリおよび方法に関し、より詳細には、酸化物膜と窒化
物膜の両方を表面に付着させた基板を化学機械研磨する
ためのスラリおよび方法に関する。
【0002】
【従来の技術】多様なパターン密度を有する表面形状フ
ィーチャのグローバルな平坦化は、高性能超々大規模集
積回路(ULSI:ultra-large scale integration)
デバイスの製造に一般的に用いられている。ますます小
型化するデバイス寸法、高アスペクト比のフィーチャ、
より高密度のパッケージ配列、およびより高い金属−絶
縁体配線レベルをもつ構造は、厳しい平面性要件を必要
とする。後続の導電性回路フィーチャの形成用のマスク
を画定するのに用いられる短波長フォトリソグラフィ
は、垂直な表面形状の変動に対してさらなる制限を課し
て、パターン全体を通常±約0.3μmの光線の焦点深
度内に維持することを要求する。配線レベルがますます
高くなるにつれて、非平坦性に伴う問題は深刻になり、
ULSIデバイスの歩留まりと性能に影響を及ぼす。性
能の向上と製造性の改善のため、0.5ミクロン未満の
デバイス用に、化学機械研磨(CMP)と組み合わせた
浅いトレンチ分離(STI)をLOCOS(シリコン局
所酸化)技術に代って使用することが提案されている。
例えば、浅いトレンチ分離と化学機械研磨の組み合わせ
は、B.ダヴァリ(Davari)他の論文「A VARIABLE-SIZE SH
ALLOW TRENCH ISOLATION(STI) TECHNOLOGY WITH DEFUS
ED SIDE WALL DOPING FOR SUBMICRON CMOS」,IDEM Tech
nical Digest, pp.92-95, 1988年と、K.ブルーメンスト
ック(Blumenstock)他の論文「SHALLOW TRENCH ISOLATIO
N OF ULTRA-LARGE-SCALE INTEGRATED DEVICES」, Journ
al of Vacuum Science Technology, pp.54-58,1994年1-
2月に記載されている。
【0003】上記参照文献に記述されている、浅いトレ
ンチ分離プロセスでは、フォトレジストを使って、シリ
コン窒化物・酸化物パッド上で分離が画定される。層が
次いで、ドライ・エッチングされ、反応性イオン・エッ
チング(RIE)を用いてシリコン・ベース内のトレン
チが画定される。トレンチは、低圧化学気相付着(LP
CVD)酸化物で充填される。平坦化スキームは、一般
に能動領域上にいくらかの窒化物を残し窒化物表面から
すべての酸化物を除去することが必要とされる。加え
て、フィールド酸化物は平坦化の後、シリコン表面上か
ら除去されなければならない。これは一般に、B.ダヴァ
リの論文「A NEW PLANARIZATION TECHNIQUE USING A CO
MBINATION OF RIE AND CHEMICAL MECHANICAL POLISH(CM
P)」,IEDM Technical Digest,pp.61-64,1989年に記載さ
れているように、RIEエッチ・バック、続いてCMP
によって行われる。RIEエッチ・バックとそれに続く
化学機械研磨の組み合わせは広い平坦化ウインドウをも
たらし、また、RIE後に残る若干の非平坦性をなくす
る。さらに最近、C.ユー(Yu)他の論文「Dishing Effect
s in a Chemical Mechanical Polishing Planarization
Process for Advanced Trench Isolation」, Applied P
hysical Letters,1992年9月14号、pp.1344-1346で、ド
ライ・エッチング・ステップなしの、すなわちCMPの
みの平坦化が提案されている。
【0004】どちらの方法を用いても、ユー他の論文に
記述されているように、200μmを超える範囲で多様
なパターン密度にわたって深刻なディッシングを起こさ
ずにグローバルな平坦化を達成することが課題である。
このような範囲にわたるグローバルな平坦化を達成する
ためには、酸化物と窒化物の研磨速度に関して高い選択
性をもつ研磨スラリが必要とされる。所望の平坦化後の
酸化物の厚さが確実に達成でき、シリコン・サブサーフ
ェスが保護できるようにするために、窒化物に対する研
磨速度が遅いことが必要である。現在使われているコロ
イド状シリカ・スラリでは、ブランケット膜における酸
化物と窒化物の選択性の比は3:1から4:1である。
しかしながら、この選択性は、ウェハ全体にわたる厚さ
の均一性が理想的でない幅広く変動するパターン・ファ
クタを有する製品ウェハ上では低下する。しばしば、そ
の結果として、最終製品の過剰研磨、過少研磨、不均一
な厚さをもたらす。これらの問題を克服するため、より
遅い研磨速度、繰り返し行われる検査と何回もの厚さの
測定、および厳しい工程制御が、製品の品質を確保する
ために必要とされる。これらの測定はすべて、効率とス
ループットを低下させ、製造コストを増大させる。遅い
研磨速度と繰り返し行われる検査ステップはまた研磨お
よび洗浄装置への資本投資をも増加させる。
【0005】
【発明が解決しようとする課題】本発明は、上記の問題
を克服することを目的とする。すなわち、酸化物と窒化
物の研磨速度の選択性の比が4:1より著しく大きいよ
うな方法で、使用するのに適したスラリを得ることが望
ましい。また、上層の酸化物を迅速に除去するために、
酸化物に対する初期研磨速度が比較的高く、その後、仕
上げ研磨の際の酸化物と窒化物の選択性の比が高くなる
ようにスラリが改変されるような方法を得ることが望ま
しい。
【0006】
【課題を解決するための手段】本発明の一態様によれ
ば、複合材料基板のある材料の化学機械研磨法は、第1
の材料から形成された第1膜と第1膜の所定の部分の下
にある第2の材料から形成された第2膜を有する基板を
提供する段階と、第1膜及び第2膜と反応する研磨スラ
リを提供する段階と、第1膜の大部分を除去する段階と
を含む。この方法はさらに、第2膜に対する反応性に比
べて第1膜に対するスラリの反応性を増加させるのに充
分な量のトリエタノールアミンをスラリに添加する段階
と、第1膜の残存部分を除去する段階とを含む。第2膜
が下にある部分上から第1膜が完全に除去されたとき、
研磨を中断し、それによって第2膜が露出し、露出した
第2膜に隣接する第1膜の部分が第2膜と整合する。
【0007】複合材料基板内のある材料の化学機械研磨
を制御するこの方法の他の特徴は、水酸化カリウムと水
の溶液に分散したコロイド状シリカを含有し、そのpH
が約10〜約11であるスラリを含む。さらなる特徴
は、トリエタノールアミンが、水酸化カリウムと水の溶
液中に分散したコロイド状シリカのスラリに、スラリ中
のトリエタノールアミン濃度が約10%〜約40%、好
ましくは約30%となるのに充分な量で添加されること
を含む。
【0008】複合材料基板内のある材料の化学機械研磨
を制御するこの方法の他の特徴は、第1膜が酸化シリコ
ンを含み第2膜が窒化シリコンを含む、複合材料基板を
含む。
【0009】本発明の別の態様では、複合材料基板の化
学機械研磨用のスラリは、体積で、超微粒子(fumed)
コロイド状シリカ約1%〜約15%、水酸化カリウム約
0.0001%〜約6%、トリエタノールアミン約10
%〜約40%、水約10%〜約90%を含み、pHが約
10〜約12の範囲である。
【0010】本発明を具体化するスラリの他の特徴は、
好ましくは超微粒子コロイド状シリカ約10.2%、水
酸化カリウム約0.0001%、トリエタノールアミン
約30%、全体が100%になるように水(約60%)
を含有し、pHが約10.5であるスラリを含む。
【0011】
【発明の実施の形態】化学機械研磨(CMP)プロセス
は機械的及び化学的構成要素から成る。CMPプロセス
における機械的構成要素は、酸化物層の硬さと多孔度の
影響を受ける。酸化物除去のための化学的構成要素は、
水酸化イオンを触媒として水和した表面酸化物層を解重
合してケイ酸塩を形成し、続いてそれを分解して二酸化
シリコンを形成することを含むと考えられる。機械的作
用は、表面酸化物層をほぐし、その結果シリコンウェハ
からの酸化物のかなりの除去速度が得られる。窒化物除
去の機構は、窒化物を水と反応させて、二酸化シリコン
とアンモニアを形成することを含み、中間ステップとし
てシリコンオキシナイトライドが形成される。上記の機
械的及び化学的機構に基づいて、有機添加物の添加によ
って酸化物/窒化物研磨速度の選択性の比を調べた。
【0012】研磨スラリへの添加物、すなわちウェット
・エッチャントは、水に対する溶解度が高い、コロイド
安定性、すなわち沈降、アグロメレーションなどに対す
る影響がないこと、研磨速度の変化が最小であることな
ど、幾つかの重要な基準に合致し、製品のスループット
に何の影響も与えないように選択する。
【0013】一般構造、R1R2R3NでR=H、OH
−CH2-CH2、またはOH−イソプロピル基である数
種のアミノアルコール添加物を、酸化シリコンを研磨す
るために通常使用されるシリカ・スラリ中で広範囲の濃
度について評価した。下記のテストにおいて、基本シリ
カ・スラリは、水酸化カリウム約0.0001%と水約
60%を含有する水酸化カリウム溶液に溶解したコロイ
ド状シリカ約12%を含有するものであった。他の適切
な基本スラリ組成は、体積で、超微粒子コロイド状シリ
カ約1%〜約15%、水酸化カリウム約0.0001%
〜約6%、水約10%〜約90%を含み、pH10〜1
2である。スラリのpHは約10〜約11であることが
望ましい。具体的には、評価を行った基本スラリへの添
加物には、モノエタノールアミン(R1=R2=H,R
3=OH−CH2−CH2)、ジエタノールアミン(R1
=H,R2=R3=OH−CH2−CH2)、トリエタノ
ールアミン(R1=R2=R3=OH−CH2−CH2
及びトリイソプロパノールアミン(R1=R2=R3=
OH−イソプロピル基)が含まれていた。酸化シリコン
および窒化シリコンのブランケット膜に対する研磨速度
を研磨の前後に行った測定から求めた。平均研磨速度か
ら選択性の比を算出した。記述されている添加物濃度は
体積百分率で報告している。
【0014】テスト例1 第1のテストは、雰囲気温度における酸化物と窒化物の
研磨速度の選択性に対する上記のアミノアルコール類の
効果を証明するものである。低圧化学気相付着(LPC
VD)による酸化物層および窒化物層をStrasbaugh Mod
el 6DA DC-1ポリッシャを用いて研磨した。SuBa IVパッ
ドにより5psiの荷重をかけた。キャリヤとプラテン
の両方を60rpmで回転させた。測定した結果と計算
で求めた酸化物と窒化物の研磨速度の選択性の比を下記
の表1に示す。以下の諸表において、次の略字を用い
る。SSはシリカ・スラリ、MEAはモノエタノールア
ミン、DEAはジエタノールアミン、TEAはトリエタ
ノールアミン、TIPAはトリイソプロパノールアミン
と表す。
【0015】
【表1】
【0016】添加物モノエタノールアミンとジエタノー
ルアミンは、選択性に対して何の影響も確認されず、酸
化物と窒化物両方の研磨速度の減少を示す。ただし、ト
リエタノールアミンは、15%と20%両方の体積濃度
レベルにおいて、選択性の向上を示す。窒化物研磨の速
度はかなり低下したが、酸化物研磨速度はわずかに低下
しただけである。トリイソプロパノールアミンは、選択
性の著しい向上を示さず、酸化物と窒化物の研磨速度が
低下する。
【0017】テスト例2 トリエタノールアミンによる選択性の向上は、テスト例
1で証明されるように、明らかにシリカ・スラリ添加物
の濃度の影響を受けている。テスト例2では、温度11
5°F(46℃)で、Westech 372M/4100 8-inchポリッ
シャで研磨を実施した。SuBa VIパッドにより6psi
の下向きの力をかけ、キャリヤは45rpmで、プラテ
ンは23rpmで回転させた。測定の結果、および個々
の測定結果に基づいて算出した酸化物/窒化物の選択性
の比を下記表2にまとめる。
【0018】
【表2】
【0019】表2に示されているように、トリエタノー
ルアミン15体積%では選択性の比の著しい向上は見ら
れなかった。しかし、トリエタノールアミン20%〜3
0%では、選択性の比は8.2から23.0に増大し
た。すなわち、トリエタノールアミン30体積%のと
き、選択性が5.3倍に向上する。それより高いトリエ
タノールアミン濃度は酸化物研磨速度が減少するのでテ
ストしなかった。しかしながら、上記のテストに基づく
と、体積濃度約10%〜約40%、好ましくは約30%
のトリエタノールアミンを含有するシリカ・スラリは有
益な選択的研磨速度をもたらすと考えられる。
【0020】テスト例3 選択性の向上に対する研磨温度の影響を、広範囲の温度
について調べた。テスト例3における研磨条件は、温度
変化を除き、テスト例2について述べたのと同じであっ
た。シリカ・スラリと、シリカ・スラリに30体積%の
のトリエタノールアミンを加えたものの選択性の比を、
テストの測定結果に基づいて算出した。その結果を下記
の表3に示す。
【0021】
【表3】
【0022】32℃(90F°)から60℃(140F
°)でほぼ同じレベルの向上が認められたことが注目さ
れた。従って、トリエタノールアミン30%含有スラリ
の使用では、研磨温度は酸化物と窒化物の選択性の比に
ほとんど影響を与えないことが明らかである。
【0023】テスト例4 トリエタノールアミン30%を含有したコロイド状シリ
カ・スラリを上述のように調製し、選択性の比の向上に
対するスラリのエージングの影響をテストした。研磨パ
ラメータは、上記テスト例2について述べたものと同じ
であった。シリカ・スラリと、30体積%のトリエタノ
ールアミンを添加したシリカ・スラリの選択性の比を、
新品スラリと30日間保管後のスラリで行ったテストに
基づいて算出した。計算で求めた選択性の比と、向上率
を下記の表4に示す。
【0024】
【表4】
【0025】結果は、30日間保管の後でも、選択性に
対する影響は認められなかったことを示す。
【0026】従って、本発明を具体化するスラリは、酸
化物および窒化物構成要素を有する複合材料基板の研磨
に使用されるコロイド状シリカ・スラリの酸化物と窒化
物の研磨速度の選択性を大幅に向上させることができ
る。このような基板の研磨方法は、従来の化学機械研磨
技術によって実施すると有利である。初期研磨中は、酸
化物除去速度を最大にするためにコロイド状シリカ・ス
ラリを、アミノアルコール添加物なしで使用することが
望ましい。酸化物層の大部分が除去された後、アミノア
ルコール、すなわち、トリエタノールアミンを体積で約
10%〜約40%、好ましくは約30%の割合で、基本
コロイド状シリカ・スラリに添加する。次いで、窒化物
薄層が露出するまで研磨を続ける。その後研磨プロセス
を終了すると、過剰研磨も過少研磨もなしに、平坦で滑
らかな表面が加工製品上で得られる。
【0027】産業上の利用可能性 本発明は、犠牲膜が製品に選択的に加えられる平坦化後
の所望の膜厚に、迅速かつ容易に、確実に達する方法を
提供する。例えば、窒化シリコン犠牲膜層は、目的膜に
対して遅い研磨速度を有し、それが置かれた領域内の研
磨速度の減少を知らせるストップ膜をもたらして、平坦
性を向上させ、サブサーフェスを保護するはずであ
る。。例えば、上述の応用例において、STI技術を用
いてシリコン表面内にトレンチをエッチングする。トレ
ンチは、酸化物がトレンチ内のみに存在し、元からある
シリコン表面には存在しないように、厳密に酸化シリコ
ンで充填しなければならない。正確に充填するために
は、トレンチを過剰充填し、ポリッシュバックしなけれ
ばならないが、元からあるシリコン表面は研磨や損傷を
受けることがあってはならない。このことを実現するた
め、窒化シリコンの薄い層を元からあるシリコン表面に
付着する。トレンチをエッチングするとき、窒化シリコ
ン層はエッチスルーされ、次いで、トレンチが過剰充填
されるとき、窒化シリコン層の残った部分が覆われる。
スラリへの添加物、トリエタノールアミンによって選択
性が向上するため、酸化物がさらに迅速にポリッシュバ
ックされ、一方窒化物ストップ層を研磨し尽くして元の
シリコン表面に損傷を与えるリスクが低下する。STI
プロセスの主な制限は、16MBを超えるメモリ製品上
で許容されるパッド窒化物の厚さである。厚い研磨スト
ップ膜は、保持障害を起こすことが知られている。本発
明は、このように厚い研磨ストップ層による保持障害を
受けることなく、薄い窒化物研磨ストップ層の実現可能
性とプロセス・ウィンドウを有効に改善し、それによっ
て過剰研磨による損傷を防止する効果がある。
【0028】まとめとして、本発明の構成に関して以下
の事項を開示する。
【0029】(1)複合材料基板のある材料の研磨速度
を制御する方法であって、第1の材料から形成された第
1膜と、前記第1膜の所定の部分の下にある第2の材料
から形成された第2膜とを有する基板を提供する段階
と、前記第1膜及び前記第2膜と反応するスラリを提供
する段階と、前記スラリを用いて前記基板を化学機械研
磨することにより前記第1膜の大部分を除去する段階
と、前記第2膜に比べて、前記第1膜に対する前記スラ
リの反応性を増大させるのに充分な量のトリエタノール
アミンを前記スラリに添加する段階と、トリエタノール
アミンを含有する前記スラリを用いて前記基板を化学機
械研磨することにより、前記第1膜の残存部分を除去す
る段階と、前記第1膜が、前記第2膜が下にある前記部
分上から完全に除去された時、前記研磨を中断し、それ
によって前記第2膜の前記部分が露出されて、前記第1
膜が前記第2膜と平面で整合する段階とを含む方法。 (2)前記スラリが、水酸化カリウムと水の溶液に分散
したコロイド状シリカを含有し、そのpHが約10〜約
11である、上記(1)に記載の複合材料基板のある材
料の研磨速度を制御する方法。 (3)前記コロイド状シリカを含有する前記スラリに、
前記トリエタノールアミンが、トリエタノールアミン濃
度約10〜約40%となるのに充分な量だけ添加され
る、上記(2)に記載の複合材料基板のある材料の研磨
速度を制御する方法。 (4)前記コロイド状シリカを含有する前記スラリに、
前記トリエタノールアミンが、トリエタノールアミン濃
度約30%となるのに充分な量だけ添加される、上記
(3)に記載の複合材料基板のある材料の研磨速度を制
御する方法。 (5)前記第1膜が酸化シリコンであり、前記第2膜が
窒化シリコンである、上記(1)に記載の複合材料基板
のある材料の研磨速度を制御する方法。 (6)体積で、コロイド状シリカ約1%〜約15%、水
酸化カリウム約0.0001%〜約6%、トリエタノー
ルアミン約10%〜約40%、水約10%〜90%を含
有し、pHが約10〜約12である、複合材料基板の化
学機械研磨用スラリ。 (7)トリエタノールアミンを約30%含有し、pHが
約10〜11である、上記(6)に記載の複合材料基板
の化学機械研磨用スラリ。
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ランガーラージャン・ジャガンナタン アメリカ合衆国05452 バーモント州エ セックス・ジャンクション リダ・ドラ イブ 8 (72)発明者 マハーデヴィイエル・クリシュナン アメリカ合衆国12533 ニューヨーク州 ホープウェル・ジャンクション ラーチ モント・ドライブ 18 (72)発明者 クリフォード・オーウェン・モーガン アメリカ合衆国05401−3526 バーモン ト州バーリントン ユニバーシティー・ テラス 60 (72)発明者 テランス・モンテ・ライト アメリカ合衆国05495 バーモント州ウ ィリストン ルフェーブル・レーン 3 (56)参考文献 特開 平10−242090(JP,A) 特開 平5−67600(JP,A) 特開 平5−62953(JP,A) 特開 平9−153543(JP,A) 特開 平6−295892(JP,A) 特開 平4−313224(JP,A) 特開 平11−15924(JP,A) 特開 平9−36074(JP,A) (58)調査した分野(Int.Cl.7,DB名) H01L 21/304 B24B 37/00 - 37/04

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】複合材料基板のある材料の研磨速度を制御
    しながら化学機械研磨する方法であって、 第1の材料から形成された第1膜と、前記第1膜の所定
    の部分の下にある第2の材料から形成された第2膜とを
    有する基板を提供する段階と、 前記第1膜及び前記第2膜と反応するスラリを提供する
    段階と、 前記スラリを用いて前記基板を化学機械研磨することに
    より前記第1膜の大部分を除去する段階と、 前記スラリに、トリエタノールアミンを10〜40体積
    %となるように添加し、前記第2膜に比べて、前記第1
    膜に対する前記スラリの反応性を増大させる段階と、 トリエタノールアミンを含有する前記スラリを用いて前
    記基板を化学機械研磨することにより、前記第1膜の残
    存部分を除去する段階と、 前記第1膜が、前記第2膜が下にある前記部分上から完
    全に除去された時、前記研磨を中断し、それによって前
    記第2膜の前記部分が露出されて、前記第1膜が前記第
    2膜と平面で整合する段階とを含む、方法。
  2. 【請求項2】前記スラリが、水酸化カリウムと水の溶液
    に分散したコロイド状シリカを含有し、そのpHが10
    〜11である、請求項1に記載の方法。
  3. 【請求項3】前記第1膜が酸化シリコンであり、前記第
    2膜が窒化シリコンである、請求項1に記載の方法。
  4. 【請求項4】体積で、コロイド状シリカ1%〜15%、
    水酸化カリウム0.0001%〜6%、トリエタノール
    アミン10%〜40%、水10%〜90%を含有し、p
    Hが10〜12である、複合材料基板の化学機械研磨用
    スラリ。
JP5812699A 1998-03-10 1999-03-05 高選択性を有するスラリ及び複合材料基板の化学機械研磨方法 Expired - Fee Related JP3207178B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/037205 1998-03-10
US09/037,205 US6114249A (en) 1998-03-10 1998-03-10 Chemical mechanical polishing of multiple material substrates and slurry having improved selectivity

Publications (2)

Publication Number Publication Date
JPH11330025A JPH11330025A (ja) 1999-11-30
JP3207178B2 true JP3207178B2 (ja) 2001-09-10

Family

ID=21893030

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5812699A Expired - Fee Related JP3207178B2 (ja) 1998-03-10 1999-03-05 高選択性を有するスラリ及び複合材料基板の化学機械研磨方法

Country Status (4)

Country Link
US (1) US6114249A (ja)
JP (1) JP3207178B2 (ja)
KR (1) KR100330618B1 (ja)
TW (1) TW442560B (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE59803338D1 (de) * 1997-04-17 2002-04-18 Merck Patent Gmbh Pufferlösungen für suspensionen, verwendbar zum chemisch-mechanischen polieren
JP2000150810A (ja) * 1998-11-17 2000-05-30 Toshiba Microelectronics Corp 半導体装置及びその製造方法
EP1068928A3 (en) * 1999-02-11 2003-08-13 Applied Materials, Inc. Chemical mechanical polishing processes and components
JP2001326199A (ja) * 2000-05-17 2001-11-22 Hitachi Ltd 半導体集積回路装置の製造方法
KR100396881B1 (ko) 2000-10-16 2003-09-02 삼성전자주식회사 웨이퍼 연마에 이용되는 슬러리 및 이를 이용한 화학기계적 연마 방법
TWI228538B (en) 2000-10-23 2005-03-01 Kao Corp Polishing composition
JP2002319556A (ja) * 2001-04-19 2002-10-31 Hitachi Ltd 半導体集積回路装置の製造方法
EP1271631A1 (en) * 2001-06-29 2003-01-02 Interuniversitair Micro-Elektronica Centrum Vzw A method for producing semiconductor devices using chemical mechanical polishing
US6811470B2 (en) * 2001-07-16 2004-11-02 Applied Materials Inc. Methods and compositions for chemical mechanical polishing shallow trench isolation substrates
US6677239B2 (en) 2001-08-24 2004-01-13 Applied Materials Inc. Methods and compositions for chemical mechanical polishing
US7288212B2 (en) * 2001-11-15 2007-10-30 Samsung Electronics Co., Ltd. Additive composition, slurry composition including the same, and method of polishing an object using the slurry composition
KR100449054B1 (ko) * 2001-12-22 2004-09-16 주식회사 동진쎄미켐 선택비를 향상시킨 화학 기계적 연마 슬러리 조성물 및이를 이용한 반도체 소자의 평탄화 방법
KR100447254B1 (ko) * 2001-12-31 2004-09-07 주식회사 하이닉스반도체 반도체소자의 금속배선 콘택 플러그 형성방법
US7199056B2 (en) * 2002-02-08 2007-04-03 Applied Materials, Inc. Low cost and low dishing slurry for polysilicon CMP
JP4554142B2 (ja) * 2002-04-30 2010-09-29 日揮触媒化成株式会社 基板洗浄用粒子および該基板洗浄用粒子を含む洗浄材、基材の洗浄方法
US7063597B2 (en) 2002-10-25 2006-06-20 Applied Materials Polishing processes for shallow trench isolation substrates
US6776696B2 (en) 2002-10-28 2004-08-17 Planar Solutions Llc Continuous chemical mechanical polishing process for polishing multiple conductive and non-conductive layers on semiconductor wafers
US7071105B2 (en) 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
US6964600B2 (en) * 2003-11-21 2005-11-15 Praxair Technology, Inc. High selectivity colloidal silica slurry
US20060088976A1 (en) * 2004-10-22 2006-04-27 Applied Materials, Inc. Methods and compositions for chemical mechanical polishing substrates
KR100661273B1 (ko) * 2005-04-28 2006-12-26 테크노세미켐 주식회사 고단차 산화막의 평탄화를 위한 화학기계적 연마조성물
US8759216B2 (en) * 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
US8497210B2 (en) 2010-10-04 2013-07-30 International Business Machines Corporation Shallow trench isolation chemical mechanical planarization
EP2502969A1 (en) 2011-03-22 2012-09-26 Basf Se A chemical mechanical polishing (cmp) composition comprising two types of corrosion inhibitors
US10106705B1 (en) 2017-03-29 2018-10-23 Fujifilm Planar Solutions, LLC Polishing compositions and methods of use thereof

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2823186A (en) * 1955-09-14 1958-02-11 Monsanto Chemicals Silica aquasol containing a polyhydric alcohol
US3860431A (en) * 1972-04-28 1975-01-14 Nalco Chemical Co Slip resistant composition for paper coating
US4169337A (en) * 1978-03-30 1979-10-02 Nalco Chemical Company Process for polishing semi-conductor materials
US4462188A (en) * 1982-06-21 1984-07-31 Nalco Chemical Company Silica sol compositions for polishing silicon wafers
US4588421A (en) * 1984-10-15 1986-05-13 Nalco Chemical Company Aqueous silica compositions for polishing silicon wafers
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4892612A (en) * 1988-10-11 1990-01-09 Huff John E Polishing method
US4910155A (en) * 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
US5230833A (en) * 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
JPH0562953A (ja) * 1991-09-04 1993-03-12 Fujitsu Ltd 研磨液
US5376222A (en) * 1991-09-04 1994-12-27 Fujitsu Limited Polishing method for polycrystalline silicon
JP3181634B2 (ja) * 1991-09-06 2001-07-03 富士通株式会社 研磨液及び半導体装置の製造方法
US5407526A (en) * 1993-06-30 1995-04-18 Intel Corporation Chemical mechanical polishing slurry delivery and mixing system
US5494857A (en) * 1993-07-28 1996-02-27 Digital Equipment Corporation Chemical mechanical planarization of shallow trenches in semiconductor substrates
US5700180A (en) * 1993-08-25 1997-12-23 Micron Technology, Inc. System for real-time control of semiconductor wafer polishing
US5486129A (en) * 1993-08-25 1996-01-23 Micron Technology, Inc. System and method for real-time control of semiconductor a wafer polishing, and a polishing head
JP3438410B2 (ja) * 1995-05-26 2003-08-18 ソニー株式会社 化学機械研磨用スラリーおよびその製造方法ならびにこれを用いた研磨方法
DE69611653T2 (de) * 1995-11-10 2001-05-03 Tokuyama Corp Poliersuspensionen und Verfahren zu ihrer Herstellung
JP3575942B2 (ja) * 1997-02-28 2004-10-13 株式会社東芝 半導体装置の製造方法
US5935869A (en) * 1997-07-10 1999-08-10 International Business Machines Corporation Method of planarizing semiconductor wafers

Also Published As

Publication number Publication date
US6114249A (en) 2000-09-05
KR19990077428A (ko) 1999-10-25
KR100330618B1 (ko) 2002-03-29
JPH11330025A (ja) 1999-11-30
TW442560B (en) 2001-06-23

Similar Documents

Publication Publication Date Title
JP3207178B2 (ja) 高選択性を有するスラリ及び複合材料基板の化学機械研磨方法
JP6030703B2 (ja) 誘電性CMPスラリーにおけるCsOHの使用
US6540935B2 (en) Chemical/mechanical polishing slurry, and chemical mechanical polishing process and shallow trench isolation process employing the same
KR100442873B1 (ko) 화학적 기계적 폴리싱 슬러리 및 이를 사용한 화학적기계적 폴리싱 방법
TWI485235B (zh) 化學機械研磨組成物及其相關方法
US20040065864A1 (en) Acidic polishing slurry for the chemical-mechanical polishing of SiO2 isolation layers
KR20040100964A (ko) Sti를 위한 화학/기계 연마 방법
EP0853335A2 (en) Slurry and process for the mechano-chemical polishing of semiconductor devices
KR100643628B1 (ko) 다결정 실리콘 연마용 cmp 슬러리 조성물 및 이의 제조방법
KR100750191B1 (ko) 슬러리 조성물, 이를 이용한 화학 기계적 연마 방법 및상기 방법을 이용한 비 휘발성 메모리 소자의 제조 방법
US20040203252A1 (en) CMP slurry for nitride and CMP method using the same
WO2000002235A1 (en) Method of planarizing integrated circuits
JP2005203394A (ja) 半導体装置の製造方法
US6534407B2 (en) Method for reducing dishing effects during a chemical mechanical polishing process
JP2002319556A (ja) 半導体集積回路装置の製造方法
JP3161425B2 (ja) Stiの形成方法
US7214623B2 (en) Planarization system and method using a carbonate containing fluid
US7833908B2 (en) Slurry composition for chemical-mechanical polishing capable of compensating nanotopography effect and method for planarizing surface of semiconductor device using the same
Tateyama et al. Study on ceria-based slurry for STI planarization
US7109117B2 (en) Method for chemical mechanical polishing of a shallow trench isolation structure
US20080314872A1 (en) Chemical-Mechanical Polishing Compositions Containing Aspartame And Methods Of Making And Using The Same
JP2008182181A (ja) 研磨用組成物
KR20030056895A (ko) Cmp용 슬러리, 그 제조방법 및 이를 이용한cmp처리방법

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees