KR19980086943A - 반도체 웨이퍼 처리 시스템의 다중 파라미터를 사용하여 처리를 모니터링하기 위한 방법 및 그 장치 - Google Patents

반도체 웨이퍼 처리 시스템의 다중 파라미터를 사용하여 처리를 모니터링하기 위한 방법 및 그 장치 Download PDF

Info

Publication number
KR19980086943A
KR19980086943A KR1019980016853A KR19980016853A KR19980086943A KR 19980086943 A KR19980086943 A KR 19980086943A KR 1019980016853 A KR1019980016853 A KR 1019980016853A KR 19980016853 A KR19980016853 A KR 19980016853A KR 19980086943 A KR19980086943 A KR 19980086943A
Authority
KR
South Korea
Prior art keywords
processing system
signal
wafer processing
parameters
semiconductor wafer
Prior art date
Application number
KR1019980016853A
Other languages
English (en)
Inventor
제임스 피. 크루즈
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR19980086943A publication Critical patent/KR19980086943A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/005Control means for lapping machines or devices
    • B24B37/013Devices or means for detecting lapping completion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00563Avoid or control over-etching
    • B81C1/00587Processes for avoiding or controlling over-etching not provided for in B81C1/00571 - B81C1/00579
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C99/00Subject matter not provided for in other groups of this subclass
    • B81C99/0055Manufacturing logistics
    • B81C99/0065Process control; Yield prediction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/0138Monitoring physical parameters in the etching chamber, e.g. pressure, temperature or gas composition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Automation & Control Theory (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

본 발명은 다중 공정 파라미터를 사용하는 반도체 웨이퍼 처리 시스템내에서 공정 모니터링을 제공하는 방법 및 장치에 관한 것이다. 특히, 상기 장치는 다중 공정 파라미터를 분석하고 에칭 공정의 종말점이 정확히 검출될 수 있을 뿐만 아니라 챔버내의 다른 특성을 검출하도록 공정 특성의 변화를 검출하기 위하여 이런 파라미터를 통계적으로 상관시킨다. 상기 다중 파라미터는 광학적 방출 현상, 반응 챔버내의 압력과 온도같은 환경 파라미터, 반사된 파워 또는 튜닝 전압과 같은 RF 파워 파라미터, 및 특별한 시스템 구성과 제어 전압과 같은 시스템 파라미터를 포함할 수 있다.

Description

반도체 웨이퍼 처리 시스템의 다중 파라미터를 사용하여 처리를 모니터링하기 위한 방법 및 그 장치
본 발명은 반도체 웨이퍼 처리 시스템에 관한 것으로서, 특히 반도체 웨이퍼 처리 시스템의 공정 파라미터의 인시튜 모니터링을 수행하기 위한 방법 및 장치에 관한 것이다.
반도체 웨이퍼 처리 시스템내에서 발생하는 플라즈마 강화된 공정은 일반적으로 특정 길이의 시간 동안 실행되고 광방출 분광학(OES) 기술을 사용하여 모니터링되도록 설계된다. OES 장비는 플라즈마를 포함하는 반응 챔버의 투명 창에 결합한다. OES 장비는 플라즈마로부터의 광방출을 모니터링한다. 전형적으로, 단일 파장은 모든 방출 플라즈마로부터 추출되고(필터링되고), 추출된 파장에서의 신호 크기는 챔버내의 현재 화학적 성질을 표시한다. 이런 화학적 성질의 변화는 추출된 파장에서의 신호 크기를 증가 또는 감소시킬 것이고, 이와같이 챔버에서 발생하는 처리의 변화를 표시한다.
예를 들면, 건식 에칭 공정의 플라즈마는 전형적으로 4385 옹스트롬에서 일산화탄소(CO) 방출선을 추출함으로써 모니터링된다. 일산화탄소 방출선의 크기 변화는 산화 에칭 공정의 종말점을 나타낸다.
특별한 선의 추출, 및 다른 파장에서의 플라즈마에 의해 발생된 노이즈 억제는 실질적 신호 처리와 신중한 OES 장비 제조 및 교정을 요구한다. 종말점 검출은 특별한 광파장에서의 신호 세기의 매우 작은 변화 검출을 요구한다. 이와같이, 요구된 신호는 노이즈에 의해 쉽게 변조된다. 처리를 모니터링하는 동안 주의를 기울이지 않는다면, 종말점이 검출될 수 없으며, 웨이퍼는 오버 에칭될 것이다. 이런 오버 에칭은 웨이퍼 상의 집적 회로를 파괴할 것이고 반도체 웨이퍼 처리 시스템의 수율을 감소시킬 것이다.
그러므로, 반도체 웨이퍼 처리 시스템을 위한, 특히 에칭 종말점과 반응 챔버내의 다른 처리 특성을 검출하기 위한 개선된 모니터링 기술이 요구된다.
발명의 요약
종래 기술과 연관된 단점은 다중 처리 파라미터를 사용하여 반도체 웨이퍼 처리 시스템에 의해 수행되는 처리를 모니터링하는 본 발명에 따른 방법 및 장치에 의해 극복된다. 특히, 본 발명은 처리 특성의 변화를 검출하기 위해 다중 처리 파라미터를 분석하고 상기 파라미터를 통계적으로 상관시켜, 에칭 공정의 종말점과 챔버내의 다른 처리 특성을 정확히 검출한다. 상기 다중 파라미터는 플라즈마 광방출 현상(emissia), 반응 챔버내의 압력과 온도같은 환경 파라미터, 반사된 파워 또는 RF 정합 동조 전압과 같은 RF 파워 파라미터, 및 특별한 시스템 구성과 제어 전압과 같은 시스템 파라미터를 포함할 수 있다.
특히, 본 발명은 특별한 시스템 구성에 대해 관련된 모든 파라미터를 상관시키는 통계 엔진을 포함하고, 특별한 수의 파라미터가 반응 챔버내의 특성의 특정한 변화를 나타낼 때 상기 통계 엔진은 특별한 웨이퍼 처리의 완료를 나타낼 수 있는 결정을 형성한다. 예를 들면, 상기 결정은 에칭 종말점이 얻어졌다는 것을 나타내고, 챔버가 세정을 요구한다는 것을 나타내고, 또는 일부 다른 작업이 주의가 요구된다는 것을 나타낼 수 있다. 이런 결정은 전체 반도체 웨이퍼 처리 시스템의 통합 제어를 용이하게 피드백이 시스템 제어기에 공급되도록 시스템 제어기에 결합된다.
상기 통계 엔진은 일반적으로 일반 목적의 컴퓨터 시스템에서 실행되는 실행가능 소프트웨어 프로그램이다. 그러나, 상기 통계 엔진은 상기 시스템 제어기의 마이크로 프로세서내에서 실행되는 서브루틴이 될 수 있다.
상기 통계 엔진은 반도체 처리 시스템내에 있는 여러 센서로부터의 데이터 획득과 처리 루틴의 일부를 형성하고, 여러 측정된 파라미터에 걸쳐 데이터를 상관시키며, 주기적으로 상관 데이터를 저장한다. 이전의 웨이퍼 상관 데이터는 웨이퍼로부터 웨이퍼까지의 상관 경향을 결정하기 위해 현재 웨이퍼의 상관 데이터와 비교된다. 상기 상관 경향이 특별한 임계값을 초과한다면, 웨이퍼 대 웨이퍼 플래그(flag)가 정해진다. 상기 경향을 형성하도록 상관되는 파라미터에 의존하여, 챔버가 세정될 필요가 있다는 것을 표시한다. 또한 현재 처리된 웨이퍼에 대한 상관 데이터는 예를 들어 에칭 종말점이 현재 웨이퍼에 대해 달성되었는지의 여부를 결정하기 위해 결정 임계값과 비교된다. 종말점이 달성되지 않았다면, 질문은 부정적으로 답해지고, 상기 루틴은 지속적으로 데이터를 획득하고 현재 웨이퍼의 처리 내내 주기적 원리에 상기 데이터를 상관시킨다. 종말점이 도달되었다고 결정하는 시점에서, 상기 처리는 정지되며, 다음에 상기 루틴은 다른 웨이퍼가 처리되어야 하는지의 여부를 질문한다. 웨이퍼 대 웨이퍼 플래그가 정해진다면, 다른 웨이퍼는 처리되지 않을 것이고, 상기 루틴은 새로운 처리 단계, 예를 들어 챔버 세정으로 진입할 것이다. 새로운 웨이퍼가 요구된다면, 상기 루틴은 다음 웨이퍼에 대해 데이터를 획득하도록 이동할 것이다.
다중 파라미터를 상관시킴으로써, 상기 상관된 신호의 신호 대 노이즈비(SNR)는 어떤 신호의 파라미터에 대한 SNR 이상으로 실질적으로 개선된다. 이와같이, 더 작은 처리 변화가 검출될 수 있고, 이런 변화에 응답하여 실행이 쉽게 취해질 수 있다. 더욱이, 상관 경향 모니터링은 웨이퍼 처리 특성과 시스템 특성을 제공한다.
본 발명의 목적은 다중 공정 파라미터를 사용하는 반도체 웨이퍼 처리 시스템내에서 공정 모니터링을 제공하는 방법 및 장치를 제공하는 것이다.
도 1은 본 발명에 따른 다중 파라미터 신호 분석기를 포함하는 반도체 웨이퍼 처리 시스템의 블록도.
도 2는 데이터 획득과 처리 루틴의 흐름도.
도면의 주요부분에 대한 부호의 설명
100 : 웨이퍼 처리 시스템 108 : RF 파워 공급원
112 : 척 파워 공급원 122 : 신호 분석기
도 1은 본 발명의 신호 분석기(122)에 결합된 반도체 웨이퍼 처리 시스템(100)의 블록도를 도시한다. 상기 반도체 웨이퍼 처리 시스템(100)은 웨이퍼 지지대 또는 서셉터(106), RF 파워 공급원(108), 척 파워 공급원(112), 반응성 가스 공급 어셈블리(148), 및 시스템 제어기(110)를 가지는 반응 챔버(102)를 포함한다. 예를 들면, 상기 서셉터(106)는 웨이퍼를 바이어싱하여 서셉터의 지지표면 위에 웨이퍼를 유지하기 위한 정전기 척(134)을 포함한다. 상기 척은 상기 척 파워 공급원(112)에 의해 파워가 공급된다. 또한 상기 서셉터는 플라즈마 발생 시스템을 위한 캐소드를 형성한다. 상기 캐소드는 상기 RF 파워 공급원(108)에 결합된다. 예를 들면, 에칭 시스템에서 플라즈마는 반응성 가스에 RF 파워를 결합함으로써 발생된다. 상기 반응성 가스 어셈블리는 도관(146)과 매니폴드(142)를 통해 상기 챔버(102)에 반응성 가스를 공급하는 가스 공급원(144)을 포함한다. 플라즈마를 점화하기 위하여, RF 파워가 상기 캐소드에 인가된다. 상기 챔버 벽은 접지되며, 상기 챔버 벽과 캐소드 사이의 전계는 상기 반응 챔버에서 플라즈마(104)를 점화한다.
상기 척 전극 전압과 RF 파워 레벨은 상기 시스템 제어기(110)에 의해 제어된다. 상기 플라즈마는 상기 반도체 웨이퍼(138) 표면의 플라즈마 강화된 건식 에칭을 수행한다. 이런 반도체 웨이퍼 시스템의 단순화된 도면은 상기 시스템의 주요 부품을 설명하려는 경향이 있다.
신호 분석기(122)는 반도체 웨이퍼 처리 시스템내의 다수의 소스로부터 데이터를 획득한다. 예를 들면, 상기 플라즈마(104)의 광방출은 투명 창(116)을 통해 상기 광검출기(120)로 통과된다. 상기 광검출기(120)는 상기 챔버(102) 외부에서 창(116)의 전방에 직접 배치되고, 상기 창을 통해 결합되는 광에너지를 전압으로 변환한다. 상기 전압은 하나의 파라미터(예를 들면, 광방출 분광학(OES) 파라미터)로서 상기 신호 분석기에 결합된다. 상기 광검출기는 검출을 위한 특별한 광파장을 선택하기 위해 단색화 장치를 사용하는 대역 통과 광검출기 또는 광전 배전관과 같은 많은 형태가 될 수 있다.
상기 RF 파워 공급원(108)은 RF 파라미터를 발생한다. 이런 파라미터는 반사된 파워 또는 플라즈마의 임피던스에 대해 정합 유니트를 튜닝하는데 사용되는 튜닝 전압을 포함한다. 상기 반사된 파워 및/또는 튜닝 전압의 변화는 챔버내의 화학 작용 변화를 표시한다.
상기 시스템 제어기(110)는 상기 RF 파워 공급원(108)과 상기 DC 척 파워 공급원(112)에 제어 신호를 공급한다. 부가적으로, 상기 제어기는 상기 신호 분석기(122)의 입력 회로(140)에 결합되는 시스템 파라미터 신호를 발생시킨다. 또한 상기 챔버는 다수의 환경 센서(114), 이를테면 온도 센서, 포어라인과 챔버 압력 센서, 반응성 가스 분석 센서 등을 포함할 수 있다. 상기 입력 회로는 필요에 따라 상기 데이터를 동기화하고, 디지털화하며 버퍼링한다.
상기 신호 분석기(122)는 전형적으로 중앙 처리 유니트(CPU)(124), 다수의 입출력 장치(126). 보조 회로(128)(예를 들면, 파워 공급원, 클록 회로, 버스 제어기, 캐시 등), 판독 전용 메모리(ROM)(130), 및 임의 접근 메모리(RAM)(132)를 가지는 일반적인 목적의 컴퓨터이다. 일반 목적 컴퓨터의 이런 부품의 상호 관계와 동작은 종래 기술에 잘 공지되어 있다.
상기 신호 분석기는 입력으로서 분석기에 공급되는 모든 또는 부분 파라미터를 상관시킨다. 상기 데이터 획득과 처리 루틴(200)은 프로그램이 상기 CPU(124)에 의해 실행될 때 일반적으로 RAM(132)에 상주하는 실행가능한 소프트웨어 프로그램이다. 상기 파라미터에 응답하여 형성되는 결정은 상기 신호 분석기(122)의 출력으로서 공급된다. 이런 결정은 수행을 위해 경로(136)를 따라 상기 시스템 제어기에 결합될 수 있다. 이와같이, 상기 시스템 제어기는 종말점 검출이 결정되고, 또는 세정 기능이 시작할 때, 상기 챔버의 세정이 요구된다고 간주된다면, 엔코딩 방법에 의해 이런 결정과 반응할 수 있다. 또한 상기 파라미터와 상관 데이터는 처리 경향의 히스토리적 검토를 위해 상기 RAM(132)에 저장될 수 있다. 이런 식으로, 새로운 파라미터가 추가 모니터링을 결정될 수 있다.
상기 신호 분석기(122)가 여기에서 데이터 획득과 처리 기능을 수행하도록 프로그래밍되는 독립형 일반 목적의 컴퓨터가 되는 것으로 기술되더라도, 이런 기능은 상기 시스템 제어기(110)에 결합되어 상기 시스템 제어기의 마이크로 프로세서에서 실행될 수 있다.
상기 신호 분석기에 의해 모니터링되는 다수의 신호 및/또는 파라미터를 상관함으로써, 상기 상관된 파라미터의 신호 대 잡음비(SNR)는 어떤 하나의 파라미터 신호 단독의 SNR 이상으로 증가된다. 이와같이, 다수의 파라미터를 위하여, 상기 파라미터는 웨이퍼의 처리 동안 특별한 시점에서 동시에 변하는 것을 알 수 있다. 이런 모든 변화는 검출된 신호가 상기 시스템의 기본 노이즈보다 무척 더 크도록 상관될 수 있다. 예를 들면, 포토레지스트 제거 공정의 종말점에서의 압력 변화는 챔버 압력의 감소에 기인하여 변화하는 RF 파라미터와 상관될 수 있다. 이런 압력 감소는 RF 파워가 새로운 챔버 압력에 정합되는 것을 보장하도록 정합 제어 유니트가 상기 제어 전압을 변화시키도록 한다. 더욱이, 어떤 신호는 상기 가스 공급원(144)으로부터의 가스 흐름 속도를 변화하도록 상기 시스템 제어기에 제공된다. 부가적으로, 포토레지스트 제거 공정의 종말점에서 압력이 변화할 때, 또한 상기 플라즈마 광방출 현상이 변화할 것이다. 그러므로, 이런 3개의 파라미터(예를 들면, 챔버 압력, 정합 제어 전압 및 광방출)는 포토레지스트 제거 공정에서의 종말점이 도달했다는 것을 가장 잘 나타내도록 상관될 수 있다. 부가적으로, 이런 상관된 신호와 다른 신호는 상기 상관 신호의 경향이 검출될 수 있도록 웨이퍼로부터 웨이퍼까지 모니터링될 수 있다. 이런 경향은 챔버내의 불순물과 증착물의 형성을 표시한다. 이런 웨이퍼 대 웨이퍼 상관된 신호가 특정 임계값을 초과할 때, 상기 챔버는 작동하지않고 세정 공정이 진행된다.
상기 신호 분석기의 결정 형성 특성을 추가로 개선하기 위해, 결정 형성을 위해 공지된 기술, 이를테면 퍼지 로직, 신경망 또는 통계 프로세싱이 사용될 수 있다.
도 2는 상기 신호 분석기(도 1의 122)에 의해 실행되는 신호 획득과 분석 루틴(200)을 도시한다. 사이 루틴은 단계(202)에서 시작하고, 여러 파라미터를 표현하는 데이터가 요구되는 단계(204)로 진행한다. 데이터 획득은 상기 신호 분석기(122)에 의해 입력 회로 데이터를 위한 상기 여러 파라미터 소스를 주기적으로 폴링(polling)함으로써 발생한다. 대안적으로, 상기 데이터는 인터럽트 원리로 또는 폴링과 인터럽트의 결합으로서 상기 입력 회로에 제공될 수 있다. 모니터링되는 특성에 의존하여, 상기 모든 또는 부분 파라미터는 상기 데이터 상관과 처리 단계에 사용될 것이다.
통계 엔진(224)을 사용하여, 특별한 처리를 위한 정보를 제공하기 위해 선택된 모든 파라미터는 서로 상관되고 단계(206)에서 메모리에 저장된다. 또한 상기 통계 엔진(224)은 단계(208)에서 웨이퍼 대 웨이퍼 상관을 수행하는데, 상기 공정의 이런 시점에서 이전의 웨이퍼로부터의 상관 데이터는 웨이퍼 대 웨이퍼 상관된 신호의 경향을 통계적으로 결정하기 위한 노력으로 현재 획득된 상관 데이터와 비교된다. 또한 상기 웨이퍼 대 웨이퍼 상관은 현재 획득된 상관 데이터를 예측된 값의 이론상의 모델과 비교함으로써 수행될 수 있다. 상기 경향은 챔버가 불순물을 포함하여 세정을 요구한다는 것을 나타낸다. 이와같이, 상기 웨이퍼 대 웨이퍼 상관 신호는 단계(210)에서 임계값과 비교되며, 상기 상관 신호가 임계값을 초과한다면 상기 루틴은 웨이퍼 대 웨이퍼 플래그를 정한다. 이런 플래그는 현재 웨이퍼가 완전히 처리된 후 상기 챔버가 세정, 또는 어떤 다른 공정을 요구하는지의 여부를 표시한다.
상기 임계값과 상관 신호는 바람직하게 모니터링된 파라미터의 비율로서 표현된다. 예를 들면, 상기 신호 분석기(122)는 소스 튜닝(ST), 부하(SL)와 전류(SI) 레벨 및 바이어스 튜닝(BT), 부하(BL)와 전류(BI) 레벨을 표시하는 신호를 수신한다. 수학식의 계산은 챔버 세정이 요구되는지의 여부를 결정하기 위한 값을 초래한다. 특히, 1 C 2인 경우, 상기 챔버는 그것의 정상동작 범위에서 동작하고 있는 것이다. C 1인 경우, 이런 조건은 상기 시스템의 하드웨어 결함을 표시한다. C 2인 경우, 상기 챔버는 초과된 청결 한계를 가지므로 세정되어야 한다. 대안적으로, 탄소 대 플루오르의 비율이 상기 신호 분석기에 프로그래밍된 이론 값에 대하여 모니터링될 수 있다. 상기 관찰된 비율이 상기 이론 값과 다르다면, 또한 이것은 상기 챔버가 세정되어야 한다는 것을 나타낸다.
단계(212)에서, 현재 처리된 웨이퍼에 대한 상관 데이터는 임계값과 비교되며, 상기 루틴은 처리를 계속해야 하는지 또는 이런 특별한 웨이퍼에 대한 처리를 중단해야 되는지를 결정한다. 예를 들면, 에칭 종말점이 검출되고 상관 신호가 종말점이 얻어졌다는 것을 표시하는 상기 임계값을 초과하지 않는다면, 상기 루틴은 상기 에칭 공정을 지속하기 위해 아니오 경로(220)를 따라 진행될 것이고 단계(204)를 사용하는 다음 데이터 획득 주기에서 데이터를 획득한다. 이런 루프는 종말점이 달성되었다는 결정이 이루어질 때까지 반복되고, 그 시점에서 상기 단계(212)의 질문 블록은 예 경로를 따라 단계(214)로 진행될 것이다.
단계(214)에서, 상기 신호 분석기는 상기 시스템이 상기 결정에 반응하도록 할 것이다. 이런 반응은 가장 간단하게 종말점이 얻어졌다는 것을 표시하는 표시기 램프를 조명하는 것일 수 있고, 또는 자동적으로 처리를 중지시키기 위해 상기 시스템 제어기에 보내질 신호를 요구할 것이다. 단계(206)에서, 상기 루틴은 다른 웨이퍼가 처리될 것인지를 질문한다. 상기 단계(206)에서의 질문이 긍정적으로 답해진다면, 상기 루틴은 다음 웨이퍼에 관련하여 더 많은 데이터를 획득하도록 경로(222)를 따라 진행한다. 다른 웨이퍼가 처리되지 않을 것이라면, 예를 들어 상기 챔버가 세정 처리를 받아야 한다는 것을 표시하는 웨이퍼 대 웨이퍼 플래그가 정해진다면, 상기 루틴은 단계(218)를 빠져나간다.
요컨대, 반도체 웨이퍼 처리 챔버는 웨이퍼 처리 특성 변화를 검출하기 위해 웨이퍼 처리동안 환경과 시스템 파라메트릭한 데이터를 수용하는 신호 분석기에 의해 모니터링된다. 이런 데이터에 의존하여, 상기 신호 분석기는 최적의 웨이퍼 처리 조건을 결정하고 그에 따라서 반응한다. 특히, 통계 엔진은 특별한 처리의 완료를 결정하기 위해 2개 이상의 파라미터를 상관시킨다. 2개 이상의 신호의 상관은 전체 신호 대 노이즈비를 감소시키고, 그결과 요구된 처리 조건(예를들면, 웨이퍼 처리 종말점)의 검출을 개선시킨다. 따라서, 상기 상관 데이터는 처리동안 발생하는 경향을 결정하기 위하여 이전 데이터 또는 이론적 한계선 모델과 비교될 수 있다. 상기 경향은 개선된 웨이퍼 처리(예를 들면, 요구되는 챔버 세정 또는 종말점 검출의 증가된 정확성을 위해 모니터링할 수 있는 부가적 파라미터)를 위해 교정 또는 평가를 요구하는 챔버 특성을 표시한다. 이런 식으로, 더욱 정밀하게 제조된 제품(예를 들어, 반도체 웨이퍼)이 개선된 제조 조건하에서 생산된다.
이상에서는 본 발명의 양호한 일 실시예에 따라 본 발명이 설명되었지만, 첨부된 청구 범위에 의해 한정되는 바와 같은 본 발명의 사상을 일탈하지 않는 범위 내에서 다양한 변형이 가능함은 본 발명이 속하는 기술 분야의 당업자에게는 명백하다.
다중 파라미터를 상관시킴으로써, 상기 상관된 신호의 신호 대 노이즈비가 어떤 신호의 파라미터에 대한 SNR 이상으로 개선되어 더 작은 처리 변화가 검출될 수 있고, 이런 변화에 응답하여 실행이 쉽게 취해질 수 있다.

Claims (20)

  1. 반도체 웨이퍼 처리 시스템 파라미터를 모니터링하기 위한 방법에 있어서, 시스템 특성을 표시하는 파라메트릭한 데이터를 획득하는 단계; 상관 신호를 형성하기 위해 상기 데이터를 파라메트릭한 데이터의 여러 소스와 상관시키는 단계; 트리거 점을 형성하기 위해 상기 상관 신호의 특별한 값에 대응하는 트리거 기준을 결정하는 단계; 상기 시스템내의 특별한 특징의 존재를 결정하기 위해 상기 상관 신호를 상기 트리거 점과 비교하는 단계; 및 상기 비교에 반응하는 단계를 포함하는 것을 특징으로 하는 반도체 웨이퍼 처리 시스템 파라미터를 모니터링하기 위한 방법.
  2. 제 1항에 있어서, 상기 파라메트릭한 데이터는 상기 반도체 웨이퍼 처리 시스템에 접속된 다수의 센서에 의해 획득되는 것을 특징으로 하는 반도체 웨이퍼 처리 시스템 파라미터를 모니터링하기 위한 방법.
  3. 제 2항에 있어서, 상기 센서 중 하나는 상기 반도체 웨이퍼 처리 시스템에서 플라즈마의 광방출 현상을 검출하는 것을 특징으로 하는 반도체 웨이퍼 처리 시스템 파라미터를 모니터링하기 위한 방법.
  4. 제 2항에 있어서, 상기 센서 중 하나는 RF 반사된 파워 또는 튜닝 전압을 검출하는 것을 특징으로 하는 반도체 웨이퍼 처리 시스템 파라미터를 모니터링하기 위한 방법.
  5. 제 2항에 있어서, 상기 센서 중 하나는 상기 반도체 웨이퍼 처리 시스템의 온도를 검출하는 것을 특징으로 하는 반도체 웨이퍼 처리 시스템 파라미터를 모니터링하기 위한 방법.
  6. 제 2항에 있어서, 상기 센서 중 하나는 상기 반도체 웨이퍼 처리 시스템의 압력을 검출하는 것을 특징으로 하는 반도체 웨이퍼 처리 시스템 파라미터를 모니터링하기 위한 방법.
  7. 제 2항에 있어서, 상기 센서 중 하나는 상기 반도체 웨이퍼 처리 시스템의 반응성 가스 분석기 신호를 검출하는 것을 특징으로 하는 반도체 웨이퍼 처리 시스템 파라미터를 모니터링하기 위한 방법.
  8. 제 1항에 있어서, 상기 트리거 기준은 2 이상의 웨이퍼 처리 시스템 파라미터의 동시 변화에 의해 정의되는 것을 특징으로 하는 반도체 웨이퍼 처리 시스템 파라미터를 모니터링하기 위한 방법.
  9. 제 1항에 있어서, 상기 데이터 상관 단계는 상기 파라메트릭한 데이터의 입력을 수용하고 웨이퍼 처리 시스템 제어기에 제어 신호의 출력을 제공하는 신호 분석기에 의해 달성되는 것을 특징으로 하는 반도체 웨이퍼 처리 시스템 파라미터를 모니터링하기 위한 방법.
  10. 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템(100)을 모니터링하기 위한 장치에 있어서, 다수의 파라미터 신호를 형성하는 다수의 웨이퍼 처리 시스템 파라미터 신호 발생기(108, 110, 114, 120), 2개 이상의 파라미터를 상관하기 위해 상기 웨이퍼 처리 시스템 파라미터 신호 발생기(108, 110, 114, 120)에 접속된 신호 분석기(122), 및 상기 신호 분석기(122)로부터의 적어도 하나의 결정 출력 신호(136)를 포함하는 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
  11. 제 10항에 있어서, 상기 신호 발생기 중 하나는 광센서(120)인 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
  12. 제 10항에 있어서, 상기 신호 발생기 중 하나는 RF 반사된 파워 또는 튜닝 전압 센서(108)인 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
  13. 제 10항에 있어서, 상기 신호 발생기 중 하나는 온도 센서(114)인 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
  14. 제 10항에 있어서, 상기 신호 발생기 중 하나는 챔버 압력 센서(114)인 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
  15. 제 10항에 있어서, 상기 신호 발생기 중 하나는 반응성 가스 분석기(114)인 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
  16. 제 10항에 있어서, 상기 신호 발생기 중 하나는 상기 신호 분석기(122)로부터의 상기 결정 출력 신호(136)의 입력 및 상기 웨이퍼 처리 시스템에 접속된 다수의 출력을 가지는 웨이퍼 처리 시스템 제어기로부터 신호인 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
  17. 제 10항에 있어서, 상기 신호 분석기(122)는 일반적 목적의 컴퓨터인 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
  18. 제 16항에 있어서, 상기 출력 중 하나는 RF 파워 공급원(108)에 대한 입력인 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
  19. 제 16항에 있어서, 상기 출력 중 하나는 정전기 척 파워 공급원(112)에 대한 입력인 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
  20. 제 16항에 있어서, 상기 출력 중 하나는 가스 공급원(144)에 대한 입력인 것을 특징으로 하는 다중 처리 파라미터를 사용하여 웨이퍼 처리 시스템을 모니터링하기 위한 장치.
KR1019980016853A 1997-05-12 1998-05-12 반도체 웨이퍼 처리 시스템의 다중 파라미터를 사용하여 처리를 모니터링하기 위한 방법 및 그 장치 KR19980086943A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/854,508 US5910011A (en) 1997-05-12 1997-05-12 Method and apparatus for monitoring processes using multiple parameters of a semiconductor wafer processing system
US8/854,508 1997-05-12

Publications (1)

Publication Number Publication Date
KR19980086943A true KR19980086943A (ko) 1998-12-05

Family

ID=25318875

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019980016853A KR19980086943A (ko) 1997-05-12 1998-05-12 반도체 웨이퍼 처리 시스템의 다중 파라미터를 사용하여 처리를 모니터링하기 위한 방법 및 그 장치

Country Status (5)

Country Link
US (2) US5910011A (ko)
EP (1) EP0878842A1 (ko)
JP (1) JPH1187323A (ko)
KR (1) KR19980086943A (ko)
TW (1) TW387120B (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100733590B1 (ko) * 2003-09-08 2007-06-28 가부시끼가이샤 도시바 반도체장치의 제조시스템 및 반도체장치의 제조방법
KR101290676B1 (ko) * 2011-08-15 2013-07-31 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리장치 및 플라즈마 처리방법
KR20190128159A (ko) * 2017-03-16 2019-11-15 엠케이에스 인스트루먼츠, 인코포레이티드 솔리드-스테이트 발전기 전원을 갖는 마이크로웨이브 어플리케이터
KR20230173191A (ko) * 2021-04-28 2023-12-26 어플라이드 머티어리얼스, 인코포레이티드 에칭 파라미터들을 측정하기 위한 다중 반사 측정법

Families Citing this family (108)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6108586A (en) 1997-03-31 2000-08-22 Hitachi, Ltd. Fraction defective estimating method, system for carrying out the same and recording medium
US6526326B2 (en) 1997-03-31 2003-02-25 Hitachi, Ltd. Fraction defective estimating method and system for estimating an assembly fraction defective of an article
KR100257155B1 (ko) * 1997-06-27 2000-05-15 김영환 반도체 공정장치의 매칭 네트워크의 최적화방법
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
KR100292028B1 (ko) * 1997-12-05 2001-06-01 윤종용 반도체 장비의 실시간 제어방법
US6168672B1 (en) 1998-03-06 2001-01-02 Applied Materials Inc. Method and apparatus for automatically performing cleaning processes in a semiconductor wafer processing system
JPH11354509A (ja) * 1998-04-07 1999-12-24 Seiko Epson Corp プラズマエッチングの終点検出方法及びプラズマエッチング装置
DE19817763C2 (de) * 1998-04-21 2001-02-15 Texas Instruments Deutschland Verfahren zum Kalibrieren einer Meßvorrichtung
JP2000021964A (ja) * 1998-07-06 2000-01-21 Ngk Insulators Ltd 静電チャックのパーティクル発生低減方法および半導体製造装置
US6127263A (en) 1998-07-10 2000-10-03 Applied Materials, Inc. Misalignment tolerant techniques for dual damascene fabrication
JP2000031072A (ja) * 1998-07-10 2000-01-28 Seiko Epson Corp プラズマモニタ方法及び半導体製造装置
EP1125314A1 (en) 1998-07-10 2001-08-22 Applied Materials, Inc. Improved endpoint detection for substrate fabrication processes
US6517669B2 (en) * 1999-02-26 2003-02-11 Micron Technology, Inc. Apparatus and method of detecting endpoint of a dielectric etch
JP2000269108A (ja) * 1999-03-15 2000-09-29 Sharp Corp 半導体製造装置の管理システム
US6556949B1 (en) 1999-05-18 2003-04-29 Applied Materials, Inc. Semiconductor processing techniques
DE19922919C2 (de) * 1999-05-19 2002-01-17 Infineon Technologies Ag Anlage zur Bearbeitung von Wafern
US6303395B1 (en) 1999-06-01 2001-10-16 Applied Materials, Inc. Semiconductor processing techniques
US6456894B1 (en) 1999-06-01 2002-09-24 Applied Materials, Inc. Semiconductor processing techniques
US6408220B1 (en) 1999-06-01 2002-06-18 Applied Materials, Inc. Semiconductor processing techniques
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6763130B1 (en) * 1999-07-21 2004-07-13 Applied Materials, Inc. Real time defect source identification
US6566272B2 (en) 1999-07-23 2003-05-20 Applied Materials Inc. Method for providing pulsed plasma during a portion of a semiconductor wafer process
JP3732053B2 (ja) 1999-09-27 2006-01-05 株式会社日立製作所 製造職場の不良の起こし易さ評価方法及びその装置、製品の組立作業不良率評価方法及びその装置並びに記録媒体
US6427093B1 (en) * 1999-10-07 2002-07-30 Advanced Micro Devices, Inc. Method and apparatus for optimal wafer-by-wafer processing
IL133326A0 (en) * 1999-12-06 2001-04-30 Nova Measuring Instr Ltd Method and system for endpoint detection
US6640151B1 (en) 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
US6340603B1 (en) * 2000-01-27 2002-01-22 Advanced Micro Devices, Inc. Plasma emission detection during lateral processing of photoresist mask
US6622059B1 (en) * 2000-04-13 2003-09-16 Advanced Micro Devices, Inc. Automated process monitoring and analysis system for semiconductor processing
US6952656B1 (en) 2000-04-28 2005-10-04 Applied Materials, Inc. Wafer fabrication data acquisition and management systems
US6501285B1 (en) 2000-06-20 2002-12-31 Scientific Systems Research Limited RF current sensor
US6441620B1 (en) 2000-06-20 2002-08-27 John Scanlan Method for fault identification in a plasma process
JP4610021B2 (ja) * 2000-07-04 2011-01-12 東京エレクトロン株式会社 処理装置の運転方法及び処理装置の異常検出方法
US6708074B1 (en) 2000-08-11 2004-03-16 Applied Materials, Inc. Generic interface builder
US6895293B2 (en) * 2000-09-14 2005-05-17 Applied Materials, Inc. Fault detection and virtual sensor methods for tool fault monitoring
US6812045B1 (en) 2000-09-20 2004-11-02 Kla-Tencor, Inc. Methods and systems for determining a characteristic of a specimen prior to, during, or subsequent to ion implantation
US7196782B2 (en) 2000-09-20 2007-03-27 Kla-Tencor Technologies Corp. Methods and systems for determining a thin film characteristic and an electrical property of a specimen
US6694284B1 (en) 2000-09-20 2004-02-17 Kla-Tencor Technologies Corp. Methods and systems for determining at least four properties of a specimen
US6891627B1 (en) 2000-09-20 2005-05-10 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension and overlay of a specimen
US6782337B2 (en) 2000-09-20 2004-08-24 Kla-Tencor Technologies Corp. Methods and systems for determining a critical dimension an a presence of defects on a specimen
US6673637B2 (en) 2000-09-20 2004-01-06 Kla-Tencor Technologies Methods and systems for determining a presence of macro defects and overlay of a specimen
US6415123B1 (en) 2000-10-11 2002-07-02 Hewlett-Packard Company Carousel type shuttle color printer with all in one EP cartridges
US6633391B1 (en) 2000-11-07 2003-10-14 Applied Materials, Inc Monitoring of film characteristics during plasma-based semi-conductor processing using optical emission spectroscopy
US6603538B1 (en) 2000-11-21 2003-08-05 Applied Materials, Inc. Method and apparatus employing optical emission spectroscopy to detect a fault in process conditions of a semiconductor processing system
US7188142B2 (en) 2000-11-30 2007-03-06 Applied Materials, Inc. Dynamic subject information generation in message services of distributed object systems in a semiconductor assembly line facility
US6588007B1 (en) * 2001-01-03 2003-07-01 Advanced Micro Devices, Inc. Use of endpoint system to match individual processing stations within a tool
JP4128339B2 (ja) * 2001-03-05 2008-07-30 株式会社日立製作所 試料処理装置用プロセスモニタ及び試料の製造方法
WO2002082534A2 (en) * 2001-04-06 2002-10-17 Advanced Micro Devices, Inc. Method and apparatus for incorporating in-situ sensors
US6910947B2 (en) * 2001-06-19 2005-06-28 Applied Materials, Inc. Control of chemical mechanical polishing pad conditioner directional velocity to improve pad life
US7698012B2 (en) * 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US7101799B2 (en) * 2001-06-19 2006-09-05 Applied Materials, Inc. Feedforward and feedback control for conditioning of chemical mechanical polishing pad
US7160739B2 (en) 2001-06-19 2007-01-09 Applied Materials, Inc. Feedback control of a chemical mechanical polishing device providing manipulation of removal rate profiles
JP3708031B2 (ja) * 2001-06-29 2005-10-19 株式会社日立製作所 プラズマ処理装置および処理方法
US6616759B2 (en) 2001-09-06 2003-09-09 Hitachi, Ltd. Method of monitoring and/or controlling a semiconductor manufacturing apparatus and a system therefor
KR100441654B1 (ko) * 2001-09-18 2004-07-27 주성엔지니어링(주) 웨이퍼 감지시스템
KR100476460B1 (ko) * 2001-11-05 2005-03-17 주성엔지니어링(주) 플라즈마 공정챔버 모니터링 방법 및 그 시스템
US20050118812A1 (en) * 2001-12-31 2005-06-02 Tokyo Elecron Limited Method of detecting, identifying and correcting process performance
JP4659359B2 (ja) * 2001-12-31 2011-03-30 東京エレクトロン株式会社 材料処理の方法および材料処理のためのシステム
US6713885B2 (en) * 2002-02-26 2004-03-30 Hitachi High-Technologies Corporation Power supply, a semiconductor making apparatus and a semiconductor wafer fabricating method using the same
US6908529B2 (en) 2002-03-05 2005-06-21 Hitachi High-Technologies Corporation Plasma processing apparatus and method
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
DE10214620B4 (de) * 2002-04-03 2010-02-04 Robert Bosch Gmbh Verfahren zur plasmalosen Gasphasenätzung eines Siliziumwafers und Vorrichtung zu deren Durchführung
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
JP3639268B2 (ja) * 2002-06-14 2005-04-20 株式会社日立製作所 エッチング処理方法
WO2004013715A1 (en) * 2002-08-01 2004-02-12 Applied Materials, Inc. Method, system, and medium for handling misrepresentative metrology data within an advanced process control system
US6939433B2 (en) * 2002-08-27 2005-09-06 Hitachi High-Technologies Corporation Sample processing apparatus and sample processing system
US6793765B1 (en) * 2002-08-29 2004-09-21 Advanced Micro Devices, Inc. Situ monitoring of microloading using scatterometry with variable pitch gratings
US20040063224A1 (en) * 2002-09-18 2004-04-01 Applied Materials, Inc. Feedback control of a chemical mechanical polishing process for multi-layered films
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
AU2003290932A1 (en) * 2002-11-15 2004-06-15 Applied Materials, Inc. Method, system and medium for controlling manufacture process having multivariate input parameters
DE10260614B4 (de) * 2002-12-23 2008-01-31 Advanced Micro Devices, Inc., Sunnyvale Plasmaparametersteuerung unter Verwendung von Lerndaten
JP2004349419A (ja) 2003-05-21 2004-12-09 Tokyo Electron Ltd プラズマ処理装置の異常原因判定方法及び異常原因判定装置
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US6829056B1 (en) 2003-08-21 2004-12-07 Michael Barnes Monitoring dimensions of features at different locations in the processing of substrates
US7314537B2 (en) * 2003-09-30 2008-01-01 Tokyo Electron Limited Method and apparatus for detecting a plasma
US6950178B2 (en) * 2003-10-09 2005-09-27 Micron Technology, Inc. Method and system for monitoring plasma using optical emission spectroscopy
US6950783B1 (en) * 2004-03-11 2005-09-27 Powerchip Semiconductor Corp. Method and related system for semiconductor equipment prevention maintenance management
WO2005092025A2 (en) * 2004-03-22 2005-10-06 Kla-Tencor Technologies Corp. Methods and systems for measuring a characteristic of a substrate or preparing a substrate for analysis
US7312865B2 (en) 2004-03-31 2007-12-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for in situ monitoring of chamber peeling
US20050220984A1 (en) * 2004-04-02 2005-10-06 Applied Materials Inc., A Delaware Corporation Method and system for control of processing conditions in plasma processing systems
US7676342B2 (en) * 2004-12-23 2010-03-09 Asml Netherlands B.V. Sensor assembly, digital serial bus and protocol, sensor network, and lithographic apparatus and system
US7148073B1 (en) 2005-03-15 2006-12-12 Kla-Tencor Technologies Corp. Methods and systems for preparing a copper containing substrate for analysis
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device
US7394067B1 (en) 2005-07-20 2008-07-01 Kla-Tencor Technologies Corp. Systems and methods for reducing alteration of a specimen during analysis for charged particle based and other measurement systems
US7662648B2 (en) 2005-08-31 2010-02-16 Micron Technology, Inc. Integrated circuit inspection system
US8026113B2 (en) * 2006-03-24 2011-09-27 Tokyo Electron Limited Method of monitoring a semiconductor processing system using a wireless sensor network
JP4771855B2 (ja) * 2006-05-08 2011-09-14 東京エレクトロン株式会社 サーバ装置、およびプログラム
US7844453B2 (en) 2006-05-12 2010-11-30 Qnx Software Systems Co. Robust noise estimation
US7490010B2 (en) 2006-08-08 2009-02-10 Tokyo Electron Limited Data collection method, substrate processing apparatus, and substrate processing system
CN100587902C (zh) * 2006-09-15 2010-02-03 北京北方微电子基地设备工艺研究中心有限责任公司 在线预测刻蚀设备维护的方法
US8326620B2 (en) 2008-04-30 2012-12-04 Qnx Software Systems Limited Robust downlink speech and noise detector
US7746473B2 (en) * 2007-05-24 2010-06-29 Applied Materials, Inc. Full spectrum adaptive filtering (FSAF) for low open area endpoint detection
US20090158265A1 (en) * 2007-12-13 2009-06-18 Matthew Fenton Davis Implementation of advanced endpoint functions within third party software by using a plug-in approach
TWI516175B (zh) * 2008-02-08 2016-01-01 蘭姆研究公司 在電漿處理腔室中穩定壓力的方法及其程式儲存媒體
DE102008049774B4 (de) * 2008-09-30 2017-07-27 Advanced Micro Devices, Inc. Prozessanlage und Verfahren zur prozessinternen Überwachung der Metallkontamination während der Bearbeitung von Mikrostrukturen
JP4575984B2 (ja) * 2009-02-12 2010-11-04 三井造船株式会社 原子層成長装置および薄膜形成方法
US20110168671A1 (en) * 2010-01-08 2011-07-14 International Business Machines Corporation Process control using signal representative of a throttle valve position
JP5778893B2 (ja) * 2010-03-19 2015-09-16 株式会社東芝 終点検出装置、プラズマ処理装置および終点検出方法
KR20120064427A (ko) 2010-12-09 2012-06-19 삼성전자주식회사 반도체 공정 산포의 제어 방법
CN103871933A (zh) * 2014-03-17 2014-06-18 上海华虹宏力半导体制造有限公司 参数监测方法
US9737971B2 (en) * 2016-01-12 2017-08-22 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing pad, polishing layer analyzer and method
JP6727068B2 (ja) * 2016-08-08 2020-07-22 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
TWI728197B (zh) 2016-10-24 2021-05-21 美商克萊譚克公司 整合至一計量及/或檢測工具中之製程模組
US10777394B2 (en) * 2016-12-09 2020-09-15 Applied Materials, Inc. Virtual sensor for chamber cleaning endpoint
US20180166301A1 (en) * 2016-12-13 2018-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing system
JP6625098B2 (ja) * 2017-07-20 2019-12-25 株式会社Kokusai Electric 基板処理システム、半導体装置の製造方法およびプログラム
US10763144B2 (en) * 2018-03-01 2020-09-01 Verity Instruments, Inc. Adaptable-modular optical sensor based process control system, and method of operation thereof
CN110246775B (zh) 2018-03-09 2022-05-03 联华电子股份有限公司 控制机台操作的装置与方法
US11735447B2 (en) * 2020-10-20 2023-08-22 Applied Materials, Inc. Enhanced process and hardware architecture to detect and correct realtime product substrates

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4198261A (en) * 1977-12-05 1980-04-15 Gould Inc. Method for end point detection during plasma etching
US4208240A (en) * 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
US4842683A (en) * 1986-12-19 1989-06-27 Applied Materials, Inc. Magnetic field-enhanced plasma etch reactor
US4953982A (en) * 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
DE3910491C1 (ko) * 1989-03-31 1990-06-28 Fraunhofer-Gesellschaft Zur Foerderung Der Angewandten Forschung Ev, 8000 Muenchen, De
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5200023A (en) * 1991-08-30 1993-04-06 International Business Machines Corp. Infrared thermographic method and apparatus for etch process monitoring and control
US5320880A (en) * 1992-10-20 1994-06-14 Micron Technology, Inc. Method of providing a silicon film having a roughened outer surface
US5567267A (en) * 1992-11-20 1996-10-22 Tokyo Electron Limited Method of controlling temperature of susceptor
US5788801A (en) * 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
TW280083B (ko) * 1993-03-04 1996-07-01 Tokyo Electron Co Ltd
US5362969A (en) * 1993-04-23 1994-11-08 Luxtron Corporation Processing endpoint detecting technique and detector structure using multiple radiation sources or discrete detectors
JPH07141005A (ja) * 1993-06-21 1995-06-02 Hitachi Ltd 半導体集積回路装置の製造方法および製造装置
JP3399040B2 (ja) * 1993-09-20 2003-04-21 株式会社日立製作所 半導体製造装置及び半導体製造方法
US5479340A (en) * 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5444637A (en) * 1993-09-28 1995-08-22 Advanced Micro Devices, Inc. Programmable semiconductor wafer for sensing, recording and retrieving fabrication process conditions to which the wafer is exposed
US5467013A (en) * 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5711843A (en) * 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
EP0756318A1 (en) * 1995-07-24 1997-01-29 International Business Machines Corporation Method for real-time in-situ monitoring of a trench formation process
JP3768575B2 (ja) 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド Cvd装置及びチャンバ内のクリーニングの方法
US5955383A (en) * 1997-01-22 1999-09-21 Taiwan Semiconductor Manufacturing Company Ltd. Method for controlling etch rate when using consumable electrodes during plasma etching

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100733590B1 (ko) * 2003-09-08 2007-06-28 가부시끼가이샤 도시바 반도체장치의 제조시스템 및 반도체장치의 제조방법
KR101290676B1 (ko) * 2011-08-15 2013-07-31 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리장치 및 플라즈마 처리방법
KR20190128159A (ko) * 2017-03-16 2019-11-15 엠케이에스 인스트루먼츠, 인코포레이티드 솔리드-스테이트 발전기 전원을 갖는 마이크로웨이브 어플리케이터
KR20230173191A (ko) * 2021-04-28 2023-12-26 어플라이드 머티어리얼스, 인코포레이티드 에칭 파라미터들을 측정하기 위한 다중 반사 측정법

Also Published As

Publication number Publication date
US20010051437A1 (en) 2001-12-13
JPH1187323A (ja) 1999-03-30
US5910011A (en) 1999-06-08
US6652710B2 (en) 2003-11-25
TW387120B (en) 2000-04-11
EP0878842A1 (en) 1998-11-18

Similar Documents

Publication Publication Date Title
KR19980086943A (ko) 반도체 웨이퍼 처리 시스템의 다중 파라미터를 사용하여 처리를 모니터링하기 위한 방법 및 그 장치
US6368975B1 (en) Method and apparatus for monitoring a process by employing principal component analysis
US6745095B1 (en) Detection of process endpoint through monitoring fluctuation of output data
US6455437B1 (en) Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6586262B1 (en) Etching end-point detecting method
US6541388B1 (en) Plasma etching termination detecting method
TW201841189A (zh) 電漿處理裝置及電漿處理方法
WO1999021210A1 (en) Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
CN100587902C (zh) 在线预测刻蚀设备维护的方法
TWI683333B (zh) 電漿處理裝置及電漿處理裝置狀態預測方法
US7746473B2 (en) Full spectrum adaptive filtering (FSAF) for low open area endpoint detection
KR20050062741A (ko) 플라즈마 장비를 시즌닝하는 방법 및 이를 위한 장비
US7101458B2 (en) Plasma processing method and apparatus
KR100473856B1 (ko) 플라즈마 챔버의 공정 상태 관찰방법
US20050158886A1 (en) Method for processing semiconductor
KR101117928B1 (ko) 플라즈마 공정 진단 시스템 및 이에 있어서 종료점 검출 방법 및 장치
KR20010007450A (ko) 플라즈마-강화된 식각공정에서 식각의 종점을 검출하는방법
CN110504195B (zh) 反应腔体的水气监测方法
JPH1064884A (ja) エッチング装置及びエッチング方法
JP3117355B2 (ja) プラズマ処理の終点検出方法
KR101349189B1 (ko) 원격 플라즈마 시스템 및 방법
JP2002099328A (ja) モニタリング装置、プロセス装置、モニタリング方法、データ収集方法および半導体装置の製造方法
KR20030021324A (ko) 반도체제조장치의 감시 및 제어방법과 그 실시장치
KR0175025B1 (ko) 반도체 제조장치 및 이 장치를 사용하는 반도체장치의 제조방법
JPH10163176A (ja) 半導体素子の製造方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid