KR102543643B1 - 반도체 프로세싱 시스템에서의 외부 기판 회전 - Google Patents

반도체 프로세싱 시스템에서의 외부 기판 회전 Download PDF

Info

Publication number
KR102543643B1
KR102543643B1 KR1020160049510A KR20160049510A KR102543643B1 KR 102543643 B1 KR102543643 B1 KR 102543643B1 KR 1020160049510 A KR1020160049510 A KR 1020160049510A KR 20160049510 A KR20160049510 A KR 20160049510A KR 102543643 B1 KR102543643 B1 KR 102543643B1
Authority
KR
South Korea
Prior art keywords
substrate
processing
chamber
support assembly
rotation module
Prior art date
Application number
KR1020160049510A
Other languages
English (en)
Other versions
KR20160126914A (ko
Inventor
투안 안 엔구옌
아미트 쿠마르 반살
주안 칼로스 로차-알바레즈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160126914A publication Critical patent/KR20160126914A/ko
Priority to KR1020230073432A priority Critical patent/KR20230087431A/ko
Application granted granted Critical
Publication of KR102543643B1 publication Critical patent/KR102543643B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

반도체를 프로세싱하기 위한 방법 및 장치가 본원에서 개시된다. 일 실시예에서, 반도체 프로세싱을 위한 프로세싱 시스템이 개시된다. 프로세싱 챔버는, 2개의 이송 챔버들, 프로세싱 챔버, 및 회전 모듈을 포함한다. 프로세싱 챔버는 이송 챔버에 커플링된다. 회전 모듈은 이송 챔버들 사이에 위치된다. 회전 모듈은 기판을 회전시키도록 구성된다. 이송 챔버들은 프로세싱 챔버와 이송 챔버 사이에서 기판을 이송시키도록 구성된다. 다른 실시예에서, 이 장치 상에서 기판을 프로세싱하기 위한 방법이 본원에서 개시된다.

Description

반도체 프로세싱 시스템에서의 외부 기판 회전{EXTERNAL SUBSTRATE ROTATION IN A SEMICONDUCTOR PROCESSING SYSTEM}
[0001] 본 개시물은 일반적으로, 반도체 프로세싱에서의 필름 균일성을 위한 방법 및 장치에 관한 것이다. 보다 구체적으로, 반도체 프로세싱에서 필름 균일성을 증가시키기 위해, 2개의 이송 챔버들 사이에 커플링되는 회전 모듈을 갖는 프로세싱 시스템에 관한 것이다.
[0002] 반도체성 디바이스 성능은 다양한 인자들에 의해 결정된다. 한가지 중요한 인자는 기판 상에 증착되는 필름들의 균일성이다. 기판의 표면에 걸쳐서 두께 변화가 최소화되도록, 필름들을 균일하게 증착하는 것이 바람직하다. 예를 들면, 기판의 표면에 걸쳐서 약 5% 미만의 두께 변화를 갖는 필름들을 형성하는 것이 바람직할 수 있다.
[0003] 그러나, 필름 균일성은, 특히 히터 온도, 챔버 기하형상, 프로세스 가스 유동 불-균일성, 및 플라즈마 불-균일성을 포함하여, 몇몇 인자들에 의해 악영향을 받을 수 있다. 이러한 인자들은 기판의 표면 상에 불-균일한 필름들의 증착을 야기할 수 있으며, 이는 궁극적으로 디바이스 성능을 감소시킬 수 있다.
[0004] 프로세싱 동안 기판을 회전시키는 것은 개선된 균일성을 제공한다. 그러나, 프로세싱 동안 기판을 회전시키는 것은, 슬립 링들(slip rings) 및 로터리 유니온들(rotary unions)과 같은 고가의 장비를 필요로 한다.
[0005] 따라서, 반도체 프로세싱에서의 필름 균일성을 위한 개선된 장치 및 방법이 요구된다.
[0006] 일 실시예에서, 반도체 프로세싱 시스템이 본원에서 개시된다. 프로세싱 시스템은, 2개의 이송 챔버들, 프로세싱 챔버, 및 회전 모듈을 포함한다. 프로세싱 챔버는 2개의 이송 챔버들 중 하나의 이송 챔버에 커플링된다. 회전 모듈은 이송 챔버들 사이에 위치된다. 회전 모듈은 기판을 회전시키도록 구성된다.
[0007] 다른 실시예에서, 기판을 프로세싱하기 위한 방법이 본원에서 개시된다. 이 방법은 프로세싱 챔버에서 기판 상에 필름의 제 1 부분(portion)을 증착하는 단계를 포함한다. 이 방법은 기판을 회전 모듈로 이송하는 단계를 포함한다. 기판을 미리 정해진 양만큼 회전시키는 단계를 포함한다. 기판을 다시 프로세싱 챔버 내로 이송하는 단계를 포함한다. 기판 상에 필름의 제 2 부분을 증착하는 단계를 포함한다.
[0008] 다른 실시예에서, 반도체 프로세싱 시스템이 본원에서 개시된다. 프로세싱 시스템은, 이송 챔버, 프로세싱 챔버, 및 회전 모듈을 포함한다. 프로세싱 챔버는 2개의 이송 챔버에 커플링된다. 회전 모듈은 이송 챔버에 커플링된다. 회전 모듈은, 기판의 부분이 이송 챔버 내에 유지되면서, 기판을 회전시키도록 구성된다.
[0009] 본 개시물의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 개시물의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 개시물의 단지 전형적인 실시예들을 예시하는 것이므로 본 개시물의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시물이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0010] 도 1은 일 실시예에 따른, 적어도 하나의 회전 모듈을 포함하는 프로세싱 시스템의 평면도를 예시한다.
[0011] 도 2는 일 실시예에 따른, 도 1의 회전 모듈의 측면도를 예시한다.
[0012] 도 3은 일 실시예에 따른, 도 1의 프로세싱 시스템의 회전 모듈 부분의 다른 실시예의 측면도를 예시한다.
[0013] 도 4는 일 실시예에 따른, 기판을 프로세싱하는 방법을 예시한다.
[0014] 도 5a-5c는 기판 지지 조립체 상에 기판이 어떻게 배치되는 지를 도시하는, 일 실시예에 따른, 도 1의 회전 모듈의 측면도를 예시한다.
[0015] 도 6은 일 실시예에 따른, 회전 모듈을 갖는 프로세싱 시스템의 평면도를 예시한다.
[0016] 도 7은 일 실시예에 따른, 회전 모듈을 갖는 프로세싱 시스템의 평면도를 예시한다.
[0017] 명확성을 위해, 도면들 사이에서 공통적인 동일한 엘리먼트들을 지시하기 위해, 가능한 경우, 동일한 참조 번호들이 사용되었다. 부가적으로, 일 실시예의 엘리먼트들은 본원에서 전술된 다른 실시예들에서의 활용을 위해 유리하게 적응될 수 있다.
[0018] 도 1은 기판(미도시)을 프로세싱하기 위한 프로세싱 시스템(100)의 개략도를 예시한다. 프로세싱 시스템(100)은, 2개의 이송 챔버들(104a, 104b), 회전 모듈(106), 및 하나 또는 그 초과의 프로세싱 챔버들(108)을 포함한다. 프로세싱 시스템(100)은 또한, 로드 록 챔버(110), 팩토리 인터페이스(112), 및 제어기(113)를 포함할 수 있다. 팩토리 인터페이스(112)는 프로세싱 시스템(100)으로부터 기판들을 로딩 및 언로딩하도록 구성된다. 팩토리 인터페이스(112)는, 프로세싱된 기판들을 저장하고 프로세싱될 기판들을 로딩하도록 적응된 로드 포트들 및 다양한 로봇들을 포함할 수 있다.
[0019] 로드 록 챔버(110)는 이송 챔버(104a)를 팩토리 인터페이스(112)에 커플링한다. 로드 록 챔버(110)는 선택적으로, 이송 챔버(104a)와 유체 소통하며, 그에 따라 로드 록 챔버(110)와 팩토리 인터페이스(112)의 대기 환경(atmospheric environment) 사이에서 기판이 이송될 수 있다. 이송 챔버(104a)는 로봇(114a)을 포함한다. 로봇(114a)은 챔버들(106, 108) 내로 및 외부로 기판들을 이송하도록 구성된다. 이송 챔버(104b)는 로봇(114b)을 포함한다. 로봇(114b)은 챔버들(106, 108) 내로 및 외부로 기판들을 이송하도록 구성된다.
[0020] 프로세싱 챔버들(108)은 이송 챔버들(104a, 104b)에 커플링된다. 일 실시예에서, 프로세싱 챔버들(108)은 증착 챔버 또는 처리(treatment) 챔버일 수 있다. 적합한 증착 챔버들의 예들은, 이에 제한되는 것은 아니지만, 화학 기상 증착(CVD) 챔버, 스핀-온(spin-on) 코팅 챔버, 유동성(flowable) CVD 챔버, 물리 기상 증착(PVD) 챔버, 원자 층 증착(ALD) 챔버, 에피택셜 증착 챔버, 등을 포함한다. 처리 챔버들의 예들은, 이에 제한되는 것은 아니지만, 열 처리 챔버, 어닐링 챔버, 급속 열 어닐링 챔버, 레이저 처리 챔버, 전자 빔 처리 챔버, UV 처리 챔버, 이온 빔 주입 챔버, 이온 침지 주입 챔버(ion immersion implantation chamber), 등을 포함한다. 프로세싱 챔버들(108) 중 하나 또는 그 초과의 프로세싱 챔버는 다른 유형의 진공 프로세싱 챔버일 수 있는 것으로 또한 생각된다.
[0021] 회전 모듈(106)은 이송 챔버들(104a, 104b)에 커플링된다. 회전 모듈(106)은 이송 챔버(104b)로부터 이송 챔버(104a)를 분리시킨다. 회전 모듈(106)은 이송 챔버들(104a, 104b) 사이의 유체 소통을 허용하며, 그에 따라 104a로부터 104b로 이송 중인 기판이 회전 모듈(106)을 통과한다. 회전 모듈(106)은 기판을 회전시키도록 구성된다. 회전 모듈(106)은 도 2에서 보다 상세히 논의된다.
[0022] 도 1을 계속 참조하면, 프로세싱 챔버들(108), 회전 모듈(106), 이송 챔버들(104a, 104b), 및 로드 록 챔버(110)는 진공 기밀 플랫폼(116)을 형성하도록 연결된다. 하나 또는 그 초과의 펌프 시스템들(118)은, 로드 록 챔버(110), 이송 챔버들(104a, 104b), 회전 모듈(106), 및 프로세싱 챔버들(108)에 커플링된다. 도 1에서, 혼잡성을 도시하는 것을 피하기 위해, 로드 록 챔버(110)에 커플링되는 단일 펌프 시스템(118)이 도시된다. 펌프 시스템(118)은 프로세싱 시스템(100) 내의 압력을 제어한다. 펌프 시스템(118)은, 진공 기밀 플랫폼(116)으로부터 기판들의 제거 및 입장을 용이하게 하기 위해, 필요에 따라 로드 록 챔버(110)를 배기 및 펌핑 다운하는데 활용될 수 있다.
[0023] 프로세싱 시스템(100)은 통신 케이블(120)에 의해 제어기(113)에 커플링된다. 제어기(113)는 프로세싱 시스템(100) 내에서의 기판의 프로세싱을 제어하도록 동작가능하다. 제어기(113)는, 기판을 프로세싱하는 프로세스들의 제어를 용이하게 하기 위해, 프로세싱 시스템(100)의 다양한 컴포넌트들에 커플링되는, 메모리(124) 및 대용량 저장 장치와 동작 가능한 프로그래밍가능한 중앙 처리 유닛(CPU)(122), 입력 제어 유닛, 및 디스플레이 유닛(미도시), 이를테면 전력 공급부들, 클록들, 캐시, 입력/출력(I/O) 회로들, 등을 포함한다. 제어기(113)는 또한, 프로세싱 시스템(100)에서 센서들(미도시)을 통해 기판의 프로세싱을 모니터링하기 위한 하드웨어를 포함할 수 있다.
[0024] 기판의 프로세싱 및 프로세싱 시스템(100)의 제어를 용이하게 하기 위해, CPU(122)는 기판 프로세스를 제어하기 위한 임의의 형태의 범용 컴퓨터 프로세서들 중 하나일 수 있다. 메모리(124)는 CPU(122)에 커플링되고, 메모리(124)는 비-일시적이며, 랜덤 액세스 메모리(RAM), 판독 전용 메모리(ROM), 플로피 디스크 드라이브, 하드 디스크, 또는 국소적인 또는 원격의 임의의 다른 형태의 디지털 저장소와 같은 용이하게 입수 가능한 메모리 중 하나 또는 그 초과일 수 있다. 종래의 방식으로 CPU(122)를 지원하기 위해, 지원 회로들(126)이 CPU(122)에 커플링된다. 기판을 프로세싱하기 위한 프로세스는 일반적으로, 메모리(124)에 저장된다. 기판을 프로세싱하기 위한 프로세스는 또한, CPU(122)에 의해 제어되는 하드웨어로부터 원격에 위치되는 제 2 CPU(미도시)에 의해 저장 및/또는 실행될 수 있다.
[0025] 메모리(124)는 명령을 포함하는 컴퓨터-판독가능한 저장 매체의 형태이며, 이러한 명령은 CPU(122)에 의해 실행되는 경우, 프로세싱 시스템(100)에서 기판을 프로세싱하는 동작을 용이하게 한다. 메모리(124) 내의 명령은, 기판을 프로세싱하는 동작을 구현하는 프로그램과 같은 프로그램 물건(program product)의 형태이다. 프로그램 코드는 다수의 상이한 프로그래밍 언어들 중 임의의 언어에 따를 수 있다. 일 예에서, 본 개시물은 컴퓨터 시스템과의 사용을 위해 컴퓨터 판독가능한 저장 매체에 저장되는 프로그램 물건으로서 구현될 수 있다. 프로그램 물건의 프로그램(들)은 실시예들의 기능들을 정의한다. 예시적인 컴퓨터-판독가능한 저장 매체는, 이에 제한되는 것은 아니지만, (i) 정보가 영구적으로 저장되는 비-기록가능 저장 매체(예를 들면, CD-ROM 드라이브에 의해 판독가능한 CD-ROM 디스크들, 플래시 메모리, ROM 칩들, 또는 임의의 유형의 솔리드-스테이트 비-휘발성 반도체 메모리와 같은, 컴퓨터 내의 판독-전용 메모리 디바이스들); 및 (ii) 변경 가능한 정보가 저장되는 기록(writing) 저장 매체(예를 들면, 디스켓 드라이브 내의 플로피 디스크들 또는 하드-디스크 드라이브 또는 임의의 유형의 솔리드-스테이트 랜덤-액세스 반도체 메모리)를 포함한다. 그러한 컴퓨터-판독가능 저장 매체는, 본원에서 설명되는 방법들의 기능들을 지시하는 컴퓨터-판독가능 명령을 구비하는 경우, 본 개시물의 실시예들이다.
[0026] 도 2는 회전 모듈(106)의 일 실시예를 예시한다. 회전 모듈(106)은, 챔버 본체(202) 및 기판 지지 조립체(212)를 포함한다. 챔버 본체(202)는, 측벽들(204), 천장(206), 및 바닥(208)을 포함한다. 측벽들(204), 천장(206), 및 바닥(208)은 내부 용적(210)을 정의한다. 기판 지지 조립체(212)는 내부 용적(210) 내에 배치된다. 기판 지지 조립체(212)는, 플랫폼(290), 샤프트(216), 로터리 액츄에이터(218)를 포함한다. 플랫폼(290)은, 기판을 수용하도록 구성된 기판 수용 표면(214)을 갖는다. 샤프트(216)는 개구(224)를 통하여, 챔버 본체(202)의 바닥(208)을 통해 연장한다. 개구(224)는 벨로우즈(226)에 의해 밀봉된다. 플레이트(294)가 벨로우즈(226)에 커플링되며, 샤프트(216)를 둘러싼다. 샤프트 시일(292)은, 샤프트의 작동 중에 샤프트(216)와 플레이트(294) 사이에 진공-기밀 커플링을 제공하는 슬라이딩 시일(sliding seal)이다. 샤프트(216)는 플랫폼(290)에 커플링된다. 일 실시예에서, 기판 지지 조립체(212)는 복수의 리프트 핀들(222)을 더 포함한다. 복수의 리프트 핀들(222)은, 로봇식 이송(rotobic transfer)을 용이하게 하도록 기판을 상승시키고 그리고/또는 하강시키기 위해, 기판 수용 표면(214)을 통하여 연장하도록 구성된다.
[0027] 로터리 액츄에이터(218)는 스테퍼 모터, 서보모터, 등일 수 있다. 일 실시예에서, 기판 지지 조립체(212)는 회전 센서(223)를 더 포함한다. 로터리 액츄에이터(218)는 기판 지지 조립체(212)의 샤프트(216)에 커플링된다. 로터리 액츄에이터(218)는 기판 지지 조립체(212)를 회전시키도록 구성될 수 있다. 회전 센서(223)는 로터리 액츄에이터(218)에 커플링된다. 회전 센서는 기판 지지 조립체(212)의 회전을 측정하도록 구성된다. 회전 센서(223)는 제어기(미도시)에 커플링되어, 제어기에 실시간 피드백을 제공할 수 있다. 일 실시예에서, 회전 센서(223)는 인코더일 수 있다.
[0028] 일 실시예에서, 기판 지지 조립체(212)는 수직 액츄에이터(220)를 더 포함한다. 수직 액츄에이터(220)는, 플랫폼(290)의 상승되고 그리고/또는 하강되도록, z-방향으로, 샤프트(216)를 수직으로 이동시키도록 구성된다. 도 2에서, 플랫폼(290)은 상승된 위치에 있는 상태로 도시된다.
[0029] 회전 모듈(106)의 천장(206)에 측정 디바이스(228)가 커플링된다. 일 실시예에서, 측정 디바이스(228)는, 챔버 본체(202)의 천장(206)에 형성된 윈도우(230)를 통해, 기판 상에 증착되는 필름의 유전체 특성들을 검출하도록 구성된 타원 편광 디바이스(ellipsometry device)일 수 있다. 동적 계측(dynamic metrology)은 필름 특성 균일성을 위해 기판의 회전의 유효성에 대한 실-시간 피드백을 제공할 수 있다.
[0030] 도 2에 도시된 실시예에서, 기판 지지 조립체(212)는 회전 모듈(106)의 내부 용적(210) 내에 완전히 있다. 기판 지지 조립체(212)는 제 1 이송 챔버(104a)의 내부 용적(280) 또는 제 2 이송 챔버(104b)의 내부 용적(282) 내로 연장하지 않는다.
[0031] 도 3은 일 실시예에 따른, 도 1의 프로세싱 시스템(100)의 부분의 측면도를 예시한다. 도 3은, 제 1 이송 챔버(104a), 제 2 이송 챔버(104b), 및 회전 모듈(106)을 포함한다. 회전 모듈(106)은 제 1 이송 챔버(104a)와 제 2 이송 챔버(104b) 양자 모두에 커플링된다. 회전 모듈(106)은 제 1 이송 챔버(104a)와 제 2 이송 챔버(104b) 사이에 유체 소통을 허용하며, 그에 따라 기판은 제 1 이송 챔버(104a)와 제 2 이송 챔버(104b) 사이에서 이송될 수 있다. 도 3에 도시된 실시예에서, 기판 지지 조립체(212)는 회전 모듈(106)의 내부 용적(210) 내에 완전히 있지 않다. 오히려, 기판 지지 조립체(212)는 제 1 이송 챔버(104a)의 내부 용적(280) 및 제 2 이송 챔버(104b)의 내부 용적(282) 내로 부분적으로 연장한다. 예를 들면, 플랫폼(290)은 이송 챔버들(104a, 104b) 내로 연장할 수 있다. 따라서, 도 3에 도시된 실시예에서, 회전 모듈(106)은 도 2에 도시된 회전 모듈(106)의 내부 용적(210)보다 작은 내부 용적(210)을 갖는다.
[0032] 도 4는 도 1에서 설명된 것과 같은 프로세싱 시스템(100)에서 기판을 프로세싱하는 방법(400)을 예시한다. 이 방법(400)은 블록(402)에서, 제 1 프로세싱 챔버(108)에서 기판에 대해 필름 증착 프로세스의 제 1 부분을 수행함으로써 시작한다. 기판은 제 1 이송 챔버(104a)에 배치된 로봇(114a)에 의해 제 1 프로세싱 챔버(108)로 이송된다. 로봇(114a)은, 이송 챔버(104a)와 프로세싱 챔버(108) 사이에서 기판을 이동시키도록 구성된다. 로봇(114a)은 로드 록 챔버(110)로부터 제 1 이송 챔버(104a) 내로 기판을 이송시켰다. 제 1 프로세싱 챔버(108)는, CVD 챔버, 스핀-온 코팅 챔버, 유동성 CVD 챔버, PVD 챔버, 및 ALD 챔버와 같은 증착 챔버, 또는 기판 상에 얇은 필름들을 증착하기에 적합한 임의의 다른 증착 챔버일 수 있다. 제 1 프로세싱 챔버(108)에서, 필름 증착 프로세스의 제 1 부분이 기판 상에 수행된다.
[0033] 블록(404)에서, 기판은 도 5a-5b에 의해 예시된 바와 같이, 로봇(114a)에 의해, 제 1 프로세싱 챔버(108)로부터 회전 모듈(106)로 이송된다. 도 5a-5b는 방법(400)의 블록(404)에서의 회전 모듈(106)을 예시한다. 도 5a는 로봇이 기판 지지 조립체(212) 상에 기판(501)을 위치시킬 때의 회전 모듈(106)을 예시한다. 수직 액츄에이터(220)는, 로봇(114a)이 기판 지지 조립체(212) 상에 기판(501)을 배치하는 것을 허용하도록, 하향 z-방향으로 기판 지지 조립체(212)를 작동시킨다. 기판의 리프트 핀들(222)은 지지 조립체(212)의 플랫폼(290)을 통하여 형성된다. 리프트 핀들(222)은 상향 z-방향으로 작동되며, 그에 따라 리프트 핀들(222)은, 기판 지지 조립체(212)가 하강되는 경우, 기판 수용 표면(214) 위까지 연장한다(extend out above). 하강된 위치에서, 리프트 핀들(222)은 챔버 본체(202)의 바닥(208)과 접촉한다. 결과적으로, 리프트 핀들(222)은 기판 수용 표면(214) 위까지 연장한다. 로봇(114a)으로부터의 로봇 블레이드(550)가 개구를 통해 이송 챔버(104a)로부터 연장하여서, 기판(501)을 내부 용적(210) 내에 위치시킨다. 리프트 핀들(222)을 동작시키는 것은, 로봇 블레이드(550)의 통행을 방해하지 않으면서, 기판 수용 표면(214)이 로봇 블레이드(550)로부터 기판(501)을 수용하도록 허용한다. 리프트 핀들(222)은, 블레이드가 기판(501) 아래로부터 제거되는 경우, 플랫폼(290)의 기판 수용 표면(214) 상에 기판(501)을 위치시키도록, 하향 z-방향으로 동작할 수 있다. 리프트 핀들(222)을 하향 z-방향으로 작동시키기 위해, 기판 지지 조립체(212)는 상향 z-방향으로 작동되며, 그에 따라 리프트 핀들(222)은 챔버 본체(202)의 바닥(208)과 더 이상 접촉하지 않는다.
[0034] 도 5b는 연장된(extended) 위치로 상승된 기판 지지 조립체(212)를 갖는 회전 모듈(106)을 예시한다. 수직 액츄에이터(220)는 기판 지지 조립체(212)를 연장된 위치로 작동시킨다. 연장된 위치에서, 로터리 액츄에이터(218)는 기판 지지 조립체(212)를 회전시키도록 구성된다(도 5c에 예시됨). 예시된 바와 같이, 리프트 핀들(222)은 기판과의 접촉으로부터 맞물림 해제(disengaged)된다. 기판은 이제, 기판 수용 표면(214) 상에 놓인다. 연장된 위치에서, 제 1 프로세싱 챔버(108)에서 기판 상에 증착되는 필름의 특성들은 측정 디바이스(228)를 사용하여 측정될 수 있다. 필름의 특성들을 측정하는 것은, 증착 프로세스의 스테이지들(stages) 동안, 필름 균일성에 대해 보다 나은 이해를 허용한다.
[0035] 도 4를 다시 참조하면, 블록(406)에서, 회전 모듈(106)은 도 5c에 예시된 바와 같이, 미리 정해진 정도로(predefined degree) 회전된다. 도 5c는 블록(406)에서 설명된 바와 같이, 로터리 액츄에이터(218)를 통한 기판(501)의 회전을 예시한다. 로터리 액츄에이터(218)는 기판 지지 조립체(212)의 샤프트(216)를 회전시키며, 그에 따라 플랫폼(290) 및 기판(501)이 샤프트(216)와 회전된다. 기판(501)의 회전은 기판의 본래 위치에 대해 기판(501)의 위치를 변화시킨다. 일 실시예에서, 로터리 액츄에이터(218)는 기판(501)의 중심 축을 중심으로 약 1 내지 360도 회전할 수 있다. 예를 들면, 로터리 액츄에이터(218)는 기판(501)을 약 90 도 내지 180도 회전시킬 수 있다. 기판(501)이 회전되면, 도 5a-5c에서 예시된 프로세스들은 역순으로 수행되며, 그에 따라 로봇(114a)은 회전 모듈(106)로부터 기판(501)을 제거할 수 있다.
[0036] 도 4를 계속 참조하면, 블록(408)에서, 기판(501)은 회전 모듈(106)로부터 제 2 프로세싱 챔버(108)로 이송된다. 제 2 프로세싱 챔버(108)에서, 기판(501)은, 블록(410)에 의해 예시된 바와 같이, 필름 증착 프로세스의 제 2 부분을 겪는다. 로봇(114b)은 회전 모듈(106)로부터 제 2 이송 챔버(104b)로, 그 후 제 2 프로세싱 챔버(108)로 기판(501)을 이송한다. 필름 증착 프로세스의 제 2 부분은, 필름 증착 프로세스의 제 1 부분과 동일한 필름 증착 프로세스일 수 있다. 예를 들면, 필름 증착 프로세스의 제 2 부분은, CVD 챔버, 스핀-온 코팅 챔버, 유동성 CVD 챔버, PVD 챔버, 및 ALD 챔버, 또는 기판 상에 얇은 필름들을 증착하기에 적합한 임의의 다른 증착 챔버일 수 있다.
[0037] 기판의 프로세싱은, 기판 상에 만족스러운 필름이 형성될 때까지, 도 4에서 설명된 방법(400)을 반복함으로써 진행될 수 있다. 기판은 그 후, 프로세싱 시스템(100)으로부터 제거될 수 있다. 일 실시예에서, 기판이 4회의 필름 증착 프로세스들을 겪고 회전 모듈(106)로 4회 이송되도록, 기판은 약 90도만큼 4회 회전될 수 있다. 기판은 그에 따라, 기판이 프로세싱 챔버(108)에서 4개의 개별적인 배향들에 있는 경우, 프로세싱 챔버들(108)에서 프로세싱될 수 있다. 필름들의 특성들은 또한, 회전 모듈(106)의 정상의 측정 디바이스(228)를 사용하여, 4개의 별도의 횟수들로 측정될 수 있다.
[0038] 도 6은 일 실시예에 따른, 기판들을 프로세싱하기 위한 프로세싱 시스템(600)을 예시한다. 프로세싱 시스템(600)은 프로세싱 시스템(100)과 유사하다. 따라서, 도 1을 참조로 하여 전술된 것과 유사한 컴포넌트들을 지시하기 위해, 유사한 번호들이 사용되었다. 프로세싱 시스템(600)은, 이송 챔버들(104), 회전 모듈(606), 및 하나 또는 그 초과의 프로세싱 챔버들(108)을 포함한다. 프로세싱 시스템(600)은 또한, 로드 록 챔버(110), 팩토리 인터페이스(112), 및 제어기(113)를 포함할 수 있다. 하나 또는 그 초과의 프로세싱 챔버(108) 및 회전 모듈(606)은 이송 챔버(104)에 커플링된다.
[0039] 회전 모듈(606)은 회전 모듈(106)과 유사하다. 따라서, 도 1, 2, 및 3을 참조로 하여 전술된 것과 유사한 컴포넌트들을 지시하기 위해, 유사한 번호들이 사용되었다. 회전 모듈(606)은 이송 챔버(104)와 유체 소통한다. 회전 모듈(606)은 기판을 회전시키도록 구성된다. 회전 모듈(606)은 기판 지지 조립체(612)를 더 포함한다. 기판 지지 조립체(612)는 플랫폼(690)을 포함한다. 회전 모듈(606)은, 회전 모듈(606)이 플랫폼(690)의 직경(D) 미만인 길이(L)를 갖도록 크기가 정해진다(sized). 따라서, 기판 지지 조립체(612)는 이송 챔버(104) 내로 부분적으로 연장한다. 플랫폼(690)의 직경(D)과 비교하여, 회전 모듈(606)의 길이(L)는 몇가지 장점들을 갖는다. 회전 모듈(606)의 프로세싱 용적(V)은 감소되어, 결과적으로 회전 모듈(606)을 펌핑 다운하는데 더 적은 시간이 요구된다. 부가적으로, 이송 챔버와 회전 모듈 사이의, 슬릿 밸브 도어와 같은 이동식 부분들(moving parts)이 제거되는데, 이는 플랫폼(690)이 회전 모듈(606) 내로 연장하기 때문이다.
[0040] 도 7은 일 실시예에 따른, 기판들을 프로세싱하기 위한 프로세싱 시스템(700)을 예시한다. 프로세싱 시스템(700)은 프로세싱 시스템(100)과 유사하다. 따라서, 도 1을 참조로 하여 전술된 것과 유사한 컴포넌들을 지시하기 위해, 유사한 번호들이 사용되었다. 프로세싱 시스템(700)은, 이송 챔버(104), 회전 모듈(706), 및 하나 또는 그 초과의 프로세싱 챔버들(108)을 포함한다. 회전 모듈(706)은 로드 록 위치에 위치된다. 회전 모듈(706)은 기판을 회전시키도록 구성된다. 회전 모듈(706) 및 하나 또는 그 초과의 프로세싱 챔버(108)는 이송 챔버(104)와 유체 소통한다.
[0041] 전술한 내용은 본 개시물의 실시예들에 관한 것이지만, 본 개시물의 기본 범위로부터 벗어나지 않고 본 개시물의 다른 그리고 추가의 실시예들이 안출될 수 있으며, 본 개시물의 범위는 하기의 청구항들에 의해 결정된다.

Claims (15)

  1. 반도체 프로세싱을 위한 프로세싱 시스템으로서,
    2개의 이송 챔버들;
    상기 2개의 이송 챔버들 중 하나의 이송 챔버에 커플링되는 프로세싱 챔버; 및
    상기 이송 챔버들 사이에 위치되는 회전 모듈을 포함하고,
    상기 회전 모듈은,
    복수의 측벽들;
    천장 ― 상기 복수의 측벽들 및 상기 천장에 의해 내부 용적이 정의됨 ―; 및
    기판 지지 조립체 ― 상기 기판 지지 조립체는 단일 기판 플랫폼을 포함함 ―를 포함하고,
    상기 단일 기판 플랫폼의 제1 부분은 상기 회전 모듈의 내부 용적 내에 배치되고, 상기 단일 기판 플랫폼의 제2 부분은 상기 2개의 이송 챔버들의 제1 이송 챔버 및 제2 이송 챔버의 내부 용적 내로 연장되고,
    상기 제2 부분이 상기 제1 이송 챔버 및 상기 제2 이송 챔버 내로 연장되면서 상기 회전 모듈은 기판을 회전시키도록 구성되는,
    반도체 프로세싱을 위한 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 회전 모듈은 상기 기판 지지 조립체에 커플링되는 로터리 액츄에이터를 더 포함하며, 상기 로터리 액츄에이터는 상기 기판 지지 조립체를 회전시키도록 구성되는,
    반도체 프로세싱을 위한 프로세싱 시스템.
  3. 제 2 항에 있어서,
    상기 회전 모듈은 상기 로터리 액츄에이터와 통신하는 회전 센서를 더 포함하며, 상기 회전 센서는 상기 기판 지지 조립체의 회전을 측정하도록 구성되는,
    반도체 프로세싱을 위한 프로세싱 시스템.
  4. 제 2 항에 있어서,
    상기 회전 모듈은 상기 기판 지지 조립체에 커플링되는 수직 액츄에이터를 더 포함하며, 상기 수직 액츄에이터는 상기 기판 지지 조립체를 수직으로 이동시키도록 구성되는,
    반도체 프로세싱을 위한 프로세싱 시스템.
  5. 제 2 항에 있어서,
    상기 회전 모듈은 상기 복수의 측벽들 또는 상기 천장에 커플링되는 측정 모듈을 더 포함하며, 상기 측정 모듈은 상기 천장에 형성된 윈도우를 통해 기판 상에 증착되는 필름의 유전체 특성들을 검출하도록 구성되는,
    반도체 프로세싱을 위한 프로세싱 시스템.
  6. 기판을 프로세싱하기 위한 방법으로서,
    제 1 항 내지 제 5 항 중 어느 한 항에 따른 프로세싱 시스템의 프로세싱 챔버에서 기판 상에 필름의 제 1 부분을 증착하는 단계;
    상기 기판을 회전 모듈로 이송하는 단계;
    상기 기판을 미리 정해진 양만큼 회전시키는 단계;
    상기 기판을 프로세싱 챔버로 이송하는 단계; 및
    상기 프로세싱 챔버에서 상기 기판 상에 상기 필름의 제 2 부분을 증착하는 단계를 포함하는,
    기판을 프로세싱하기 위한 방법.
  7. 제 6 항에 있어서,
    상기 프로세싱 챔버에서 하향 z-방향으로 기판 지지 조립체를 작동시키는 단계;
    상기 프로세싱 챔버에서 상기 기판 지지 조립체 상에 상기 기판을 위치시키는 단계 ― 상기 프로세싱 챔버는 상기 기판 상에 상기 필름의 제 1 부분을 증착하도록 구성됨 ―; 및
    상기 프로세싱 챔버에서 상향 z-방향으로 상기 기판 지지 조립체를 작동시키는 단계를 더 포함하는,
    기판을 프로세싱하기 위한 방법.
  8. 제 6 항에 있어서,
    상기 기판은 상기 프로세싱 챔버로부터 상기 회전 모듈로 이송 챔버를 통하여 이송되는,
    기판을 프로세싱하기 위한 방법.
  9. 제 8 항에 있어서,
    상기 기판은, 상기 이송 챔버 내로 부분적으로 연장하는 기판 지지 조립체 상에 위치되는,
    기판을 프로세싱하기 위한 방법.
  10. 제 6 항에 있어서,
    상기 기판은 180°회전되는,
    기판을 프로세싱하기 위한 방법.
  11. 제 6 항에 있어서,
    상기 기판은 n회 회전되며, n회의 증착들을 겪는,
    기판을 프로세싱하기 위한 방법.
  12. 제 6 항에 있어서,
    상기 기판은 4회 회전되며, 4회의 증착들을 겪는,
    기판을 프로세싱하기 위한 방법.
  13. 삭제
  14. 삭제
  15. 삭제
KR1020160049510A 2015-04-23 2016-04-22 반도체 프로세싱 시스템에서의 외부 기판 회전 KR102543643B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020230073432A KR20230087431A (ko) 2015-04-23 2023-06-08 반도체 프로세싱 시스템에서의 외부 기판 회전

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562151799P 2015-04-23 2015-04-23
US62/151,799 2015-04-23

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020230073432A Division KR20230087431A (ko) 2015-04-23 2023-06-08 반도체 프로세싱 시스템에서의 외부 기판 회전

Publications (2)

Publication Number Publication Date
KR20160126914A KR20160126914A (ko) 2016-11-02
KR102543643B1 true KR102543643B1 (ko) 2023-06-13

Family

ID=57147999

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160049510A KR102543643B1 (ko) 2015-04-23 2016-04-22 반도체 프로세싱 시스템에서의 외부 기판 회전
KR1020230073432A KR20230087431A (ko) 2015-04-23 2023-06-08 반도체 프로세싱 시스템에서의 외부 기판 회전

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020230073432A KR20230087431A (ko) 2015-04-23 2023-06-08 반도체 프로세싱 시스템에서의 외부 기판 회전

Country Status (5)

Country Link
US (3) US10431480B2 (ko)
JP (3) JP6966181B2 (ko)
KR (2) KR102543643B1 (ko)
CN (3) CN106067433B (ko)
TW (1) TWI677046B (ko)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
KR102439085B1 (ko) * 2016-12-31 2022-08-31 어플라이드 머티어리얼스, 인코포레이티드 공간적 ald 프로세스 균일성을 개선하기 위한 웨이퍼 회전을 위한 장치 및 방법들
KR20200123480A (ko) * 2018-03-20 2020-10-29 도쿄엘렉트론가부시키가이샤 통합형 반도체 공정 모듈을 포함하는 자기 인식 및 보정 이종 플랫폼, 및 이를 사용하기 위한 방법
US11499666B2 (en) * 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
KR20200000638A (ko) * 2018-06-25 2020-01-03 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
SG11202101649WA (en) 2018-09-28 2021-04-29 Applied Materials Inc Coaxial lift device with dynamic leveling
TWI696224B (zh) * 2018-10-08 2020-06-11 馗鼎奈米科技股份有限公司 真空製程設備與真空製程方法
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
CN113767187A (zh) * 2019-04-19 2021-12-07 应用材料公司 形成含金属材料的方法
CN111235543B (zh) * 2020-01-21 2022-03-15 南京京东方显示技术有限公司 一种真空腔旋转角度异常的校正装置及方法
US20220108907A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Semiconductor substrate support leveling apparatus
JP2022104056A (ja) 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置
WO2023164228A1 (en) * 2022-02-28 2023-08-31 Applied Materials, Inc. Crossflow deposition with substrate rotation for enhanced deposition uniformity

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002324829A (ja) * 2001-07-13 2002-11-08 Tokyo Electron Ltd 処理システム
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
IL99823A0 (en) * 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
KR0165484B1 (ko) * 1995-11-28 1999-02-01 김광호 탄탈륨산화막 증착 형성방법 및 그 장치
KR19980029384A (ko) * 1996-10-25 1998-07-25 김광호 반도체 소자 제조 장치
US7619752B2 (en) * 2000-03-21 2009-11-17 J. A. Woollam Co., Inc. Sample orientation system and method
AU2003259203A1 (en) * 2002-07-22 2004-02-09 Brooks Automation, Inc. Substrate processing apparatus
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20050113964A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Sensor methods and systems for semiconductor handling
JP2005259902A (ja) * 2004-03-10 2005-09-22 Hitachi Kokusai Electric Inc 基板処理装置
JP2006028577A (ja) * 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
JP2007005435A (ja) * 2005-06-22 2007-01-11 Rorze Corp 処理装置
JP4963469B2 (ja) 2005-06-24 2012-06-27 株式会社アルバック 位置修正装置、位置修正方法
JP2005328081A (ja) * 2005-07-12 2005-11-24 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
TW200715448A (en) * 2005-07-25 2007-04-16 Canon Anelva Corp Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system
KR20080004118A (ko) * 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
KR100965413B1 (ko) * 2008-04-18 2010-06-25 엘아이지에이디피 주식회사 기판 처리용 클러스터 장치 및 클러스터 장치의 기판 처리방법
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5068780B2 (ja) * 2009-03-04 2012-11-07 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
CN101956173B (zh) * 2009-07-20 2013-06-05 鸿富锦精密工业(深圳)有限公司 使用承载组件的镀膜装置
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
JP5993625B2 (ja) * 2012-06-15 2016-09-14 株式会社Screenホールディングス 基板反転装置、および、基板処理装置
JP6160614B2 (ja) * 2012-07-04 2017-07-12 東京エレクトロン株式会社 基板処理装置
KR102449103B1 (ko) 2014-03-12 2022-09-28 어플라이드 머티어리얼스, 인코포레이티드 반도체 챔버에서의 웨이퍼 회전
US10236197B2 (en) 2014-11-06 2019-03-19 Applied Materials, Inc. Processing system containing an isolation region separating a deposition chamber from a treatment chamber
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
JP2022104056A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002324829A (ja) * 2001-07-13 2002-11-08 Tokyo Electron Ltd 処理システム
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール

Also Published As

Publication number Publication date
TWI677046B (zh) 2019-11-11
JP2017005242A (ja) 2017-01-05
CN205954106U (zh) 2017-02-15
US20230162999A1 (en) 2023-05-25
CN106067433B (zh) 2021-07-27
US20200035522A1 (en) 2020-01-30
JP7350035B2 (ja) 2023-09-25
US11574825B2 (en) 2023-02-07
JP2022023889A (ja) 2022-02-08
JP6966181B2 (ja) 2021-11-10
KR20160126914A (ko) 2016-11-02
CN113611594A (zh) 2021-11-05
US20160315000A1 (en) 2016-10-27
JP2023175774A (ja) 2023-12-12
KR20230087431A (ko) 2023-06-16
CN106067433A (zh) 2016-11-02
US10431480B2 (en) 2019-10-01
TW201642380A (zh) 2016-12-01

Similar Documents

Publication Publication Date Title
KR102543643B1 (ko) 반도체 프로세싱 시스템에서의 외부 기판 회전
US9695509B2 (en) Substrate processing apparatus, purging apparatus, method of manufacturing semiconductor device, and recording medium
US11408070B2 (en) Wafer processing apparatus and wafer processing method
TWI555059B (zh) A substrate processing apparatus, a manufacturing method of a semiconductor device, and a recording medium
JP2007335500A (ja) 基板処理装置の温度制御方法
JP6294365B2 (ja) 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
KR102361934B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US11359732B1 (en) Method and mechanism for symmetrically controlling pressure in process chamber
US9653351B2 (en) Method of manufacturing semiconductor device
US10777439B1 (en) Substrate processing apparatus
US20180272390A1 (en) Batch processing load lock chamber
JP6308030B2 (ja) 成膜装置、成膜方法及び記憶媒体
JP6630237B2 (ja) 半導体装置の製造方法、基板処理装置及びプログラム
JP6552552B2 (ja) 膜をエッチングする方法
US20220005712A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Method of Processing Substrate Support
KR20070017850A (ko) 열처리 설비

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant