JP2023175774A - 半導体処理システムにおける外部基板回転 - Google Patents

半導体処理システムにおける外部基板回転 Download PDF

Info

Publication number
JP2023175774A
JP2023175774A JP2023147337A JP2023147337A JP2023175774A JP 2023175774 A JP2023175774 A JP 2023175774A JP 2023147337 A JP2023147337 A JP 2023147337A JP 2023147337 A JP2023147337 A JP 2023147337A JP 2023175774 A JP2023175774 A JP 2023175774A
Authority
JP
Japan
Prior art keywords
substrate
chamber
processing
support assembly
rotation module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023147337A
Other languages
English (en)
Inventor
トゥン アイン グエン,
Anh Nguyen Tuan
アミット クマール バンサル,
Kumar Bansal Amit
フアン カルロス ロチャ-アルバレス,
Carlos Rocha-Alvarez Juan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2023175774A publication Critical patent/JP2023175774A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67715Changing the direction of the conveying path
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】半導体処理における膜均一性のための改良された装置及び方法を提供する。【解決手段】半導体処理用の処理システム100は、処理チャンバとして、2つの移送チャンバ104a、104b、回転モジュール106、処理チャンバ108及びロードロックチャンバ110を含み、真空気密プラットフォーム116を形成する。処理チャンバは、移送チャンバに連結される。回転モジュールは、移送チャンバ間に配置され、基板を回転させる。移送チャンバは、処理チャンバと移送チャンバの間で基板を移送する。【選択図】図1

Description

[0001] 本開示は、一般に、半導体処理における膜均一性のための方法及び装置に関する。具体的には、半導体処理における膜均一性を増すために2つの移送チャンバ間に連結された回転モジュールを有する処理システムに関する。
[0002] 半導体デバイスの性能は、様々な要因によって決定される。重要な一つの要因は、基板上に堆積された膜の均一性である。基板の表面にわたって厚さの変動が最小になるように、膜を均一に堆積させることが望ましい。例えば、基板の表面にわたって約5%未満の厚さの変動を有する膜を形成することが望ましいことがある。
[0003] しかしながら、膜均一性は、ヒータ温度、チャンバ形状寸法、プロセスガス流の不均一性、及びプラズマの不均一性などを含む幾つかの要因によって、悪影響を及ぼされ得る。これらの要因は、基板の表面上に不均一な膜の堆積をもたらし、最終的に、デバイス性能を低下させ得る。
[0004] 処理中に基板を回転させることは、均一性を改善させる。しかしながら、処理中に基板を回転させることは、スリップリング及びロータリユニオンなどの、高価な設備を必要とする。
[0005] それ故、半導体処理における膜均一性のための改良された装置及び方法に対する要求が存在する。
[0006] 一実施形態において、半導体処理システムが、本書に開示される。処理システムは、2つの移送チャンバ、処理チャンバ、及び回転モジュールを含む。処理チャンバは、2つの移送チャンバの一つに連結される。回転モジュールが、移送チャンバ間に配置される。回転モジュールは、基板を回転させるように構成される。
[0007] 別の実施形態において、基板を処理する方法が、本書に開示される。本方法は、処理チャンバ内の基板上に膜の第一の部分を堆積させることを含む。本方法は、基板を回転モジュールに移送することを含む。本方法は、基板を既定の量だけ回転させることを含む。本方法は、基板を処理チャンバの中に戻すことを含む。本方法は、基板上に膜の第二の部分を堆積させることを含む。
[0008] 別の実施形態において、半導体処理システムが、本書に開示される。処理システムは、移送チャンバ、処理チャンバ、及び回転モジュールを含む。処理チャンバは、2つの移送チャンバに連結される。回転モジュールが、移送チャンバに連結される。回転モジュールは、基板の一部が移送チャンバ内に残っている間、基板を回転させるように構成される。
[0009] 本開示の上述の特徴が詳細に理解できるように、上記で簡単に要約した本開示のより詳細な説明が、実施形態を参照することによって得られ、実施形態の幾つかは添付の図面に示される。しかしながら、添付の図面は、本開示の代表的な実施形態のみを示しており、従って、開示の範囲を限定すると見なすべきではなく、本開示は他の等しく有効な実施形態を許容しうることに留意されたい。
一実施形態による、少なくとも一つの回転モジュールを含む処理システムの上面図を示す。 一実施形態による、図1の回転モジュールの側面図を示す。 一実施形態による、図1の処理システムの回転モジュール部の別の実施形態の側面図を示す。 一実施形態による、基板を処理する方法を示す。 一実施形態による、図1の回転モジュールの側面図を示し、基板が基板支持アセンブリ上にどのように置かれるかを示す。 一実施形態による、図1の回転モジュールの側面図を示し、基板が基板支持アセンブリ上にどのように置かれるかを示す。 一実施形態による、図1の回転モジュールの側面図を示し、基板が基板支持アセンブリ上にどのように置かれるかを示す。 一実施形態による、回転モジュールを有する処理システムの上面図を示す。 一実施形態による、回転モジュールを有する処理システムの上面図を示す。
[0017] 明瞭さのため、該当する場合には、図に共通する同一の要素を示すのに、同一の参照番号が使用されている。加えて、一つの実施形態の要素は、本書に記載された他の実施形態での利用のために、有利に適合され得る。
[0018] 図1は、基板(図示せず)を処理する処理システム100の概略図を示す。処理システム100は、2つの移送チャンバ104a、104b、回転モジュール106、及び1つ以上の処理チャンバ108を含む。処理システム100は、ロードロックチャンバ110、ファクトリインターフェース112、及びコントローラ113を含んでもよい。ファクトリインターフェース112は、基板をロードし、処理システム100からアンロードするように構成される。ファクトリインターフェース112は、種々のロボット、及び処理されるべき基板をロードし、処理された基板を格納するように適合されたロードポートを含んでもよい。
[0019] ロードロックチャンバ110は、移送チャンバ104aをファクトリインターフェース112に連結する。ロードロックチャンバ110は、基板がファクトリインターフェース112の大気環境とロードロックチャンバ110の間で移送され得るように、移送チャンバ104aと選択的に流体連結する。移送チャンバ104aは、ロボット114aを含む。ロボット114aは、基板をチャンバ106、108の中に移送し、チャンバ106、108の外に移送するように、構成される。移送チャンバ104bは、ロボット114bを含む。ロボット114bは、基板をチャンバ106、108の中に移送し、チャンバ106、108の外に移送するように、構成される。
[0020] 処理チャンバ108は、移送チャンバ104a、104bに連結される。一実施形態において、処理チャンバ108は、堆積チャンバ又はトリートメントチャンバであり得る。適当な堆積チャンバの例には、限定されないが、化学気相堆積(CVD)チャンバ、スピンオンコーティングチャンバ、流動性CVDチャンバ、物理的気相堆積(PVD)チャンバ、原子層堆積(ALD)チャンバ、エピタキシャル堆積チャンバ、などが含まれる。トリートメントチャンバの例には、限定されないが、熱トリートメントチャンバ、アニールチャンバ、急速熱アニールチャンバ、レーザートリートメントチャンバ、電子ビームトリートメントチャンバ、UVトリートメントチャンバ、イオンビーム注入チャンバ、イオンイマージョン注入(ion immersion implantation)チャンバ、などが含まれる。処理チャンバ108のうちの1つ以上が、他の種類の真空処理チャンバであってもよいということが、予期される。
[0021] 回転モジュール106が、移送チャンバ104a、104bに連結される。回転モジュール106は、移送チャンバ104bから移送チャンバ104aを分離する。回転モジュール106は、移送チャンバ104aから移送チャンバ104bに移送されている基板が、回転モジュール106を通過するように、移送チャンバ104a、104bの間の流体連結を可能にする。回転モジュール106は、基板を回転させるように構成される。回転モジュール106は、図2でより詳細に論じられる。
[0022] 図1の参照を続けると、処理チャンバ108、回転モジュール106、移送チャンバ104a、104b、及びロードロックチャンバ110が接続されて、真空気密プラットフォーム116を形成する。1つ以上のポンプシステム118が、ロードロックチャンバ110、移送チャンバ104a、104b、回転モジュール106、及び処理チャンバ108に連結される。図1において、図面が乱雑になるのを回避するため、1つのポンプシステム118だけが、ロードロックチャンバ110に連結されているのが示される。ポンプシステム118は、処理システム100内の圧力を制御する。ポンプシステム118は、真空気密プラットフォーム116への基板の搬入及び搬出を容易にするため、必要に応じて、ロードロックチャンバ110をポンプダウンし通気するために利用され得る。
[0023] 処理システム100は、通信ケーブル120によってコントローラ113に接続される。コントローラ113は、処理システム100内での基板の処理を制御するように働く。コントローラ113は、メモリ124及び大容量ストレージデバイスとともに動作可能であるプログラム可能な中央処理装置(CPU)122、入力制御装置、並びにディスプレイ装置(図示せず)、例えば、電源、クロック、キャッシュ、入力/出力(I/O)回路等を含み、処理システム100の様々な構成要素に連結され、基板を処理するプロセスの制御を容易にする。コントローラ113は、処理システム100の中のセンサ(図示せず)を通して基板の処理をモニタするためのハードウェアを含んでもよい。
[0024] 処理システム100の制御及び基板の処理を容易にするため、CPU122は、基板処理を制御するための任意の形態の汎用コンピュータプロセッサの一つであってよい。メモリ124はCPU122に接続され、メモリ124は非一時的であり、ランダムアクセスメモリ(RAM)、読出し専用メモリ(ROM)、フロッピーディスクドライブ、ハードディスク、又は、任意の他の形の、ローカル若しくはリモートのデジタルストレージなどの、容易に入手可能なメモリのうちの一つ以上であってよい。サポート回路126が、従来の仕方でCPU122をサポートするために、CPU122に接続される。基板を処理するプロセスが、一般に、メモリ124に記憶される。基板を処理するプロセスは、CPU122によって制御されているハードウェアから離れて位置する第二のCPU(図示せず)によって記憶及び/又は実行されてもよい。
[0025] メモリ124は、CPU122によって実行されたときに、処理システム100の中の基板を処理する工程を容易にする命令を格納するコンピュータ可読記憶媒体の形である。メモリ124の中の命令は、基板を処理する工程を実行するプログラムなどの、プログラム製品の形である。プログラムコードは、幾つかの異なるプログラミング言語のうちの任意の一つに従い得る。一例において、本開示は、コンピュータシステムとともに使用するために、コンピュータ可読記憶媒体の中に格納されるプログラム製品として実施され得る。プログラム製品のプログラム(複数可)は、実施形態の機能を定める。例示的なコンピュータ可読記憶媒体は、限定されないが、(i)情報が永続的に記憶される、書込み不可の記憶媒体(例えば、CD-ROMドライブによって読取り可能なCD-ROMディスク、フラッシュメモリ、ROMチップ又は任意のタイプの固体不揮発性半導体メモリなどの、コンピュータ内部の読出し専用メモリデバイス)及び(ii)変更可能な情報が記憶される、書込み記憶媒体(例えば、ディスケットドライブ内部のフロッピーディスク又はハードディスクドライブ、又は任意のタイプの固体ランダムアクセス半導体メモリ)を含む。そのようなコンピュータ可読記憶媒体は、本明細書に記載される方法の機能を指示するコンピュータ可読命令を保持している場合、本開示の実施形態である。
[0026] 図2は、回転モジュール106の一実施形態を示す。回転モジュール106は、チャンバ本体202及び基板支持アセンブリ212を含む。チャンバ本体202は、側壁204、天井206、及び底部208を含む。側壁204、天井206、及び底部208が、内部容積210を画定する。基板支持アセンブリ212が、内部容積210の中に配置される。基板支持アセンブリ212は、プラットフォーム290、シャフト216、及び回転アクチュエータ218を含む。プラットフォーム290は、基板を受取るように構成される基板受取り面214を有する。シャフト216は、開口224を通って、チャンバ本体202の底部208を通って延在する。開口224は、ベローズ226によってシールされる。プレート294がベローズ226に連結され、シャフト216を囲む。シャフトシール292は、シャフトの作動中に、プレート294とシャフト216の間の真空気密結合を提供するスライドシールである。シャフト216は、プラットフォーム290に連結される。一実施形態において、基板支持アセンブリ212は、複数のリフトピン222を更に含む。複数のリフトピン222は、基板受取り面214を通って延び、基板を上昇及び/又は下降させ、ロボット移送を容易にするように構成される。
[0027] 回転アクチュエータ218は、ステッピングモータ、サーボモータ、等であり得る。一実施形態において、基板支持アセンブリ212は、回転センサ223を更に含む。回転アクチュエータ218が、基板支持アセンブリ212のシャフト216に連結される。回転アクチュエータ218は、基板支持アセンブリ212を回転させるように構成され得る。回転センサ223が、回転アクチュエータ218に連結される。回転センサは、基板支持アセンブリ212の回転を測定するように構成される。回転センサ223は、コントローラ(図示せず)に接続され、コントローラにリアルタイムフィードバックを提供し得る。一実施形態において、回転センサ223は、エンコーダであってよい。
[0028] 一実施形態において、基板支持アセンブリ212は、垂直アクチュエータ220を更に含む。垂直アクチュエータ220は、シャフト216を垂直に、Z方向に移動させ、それにより、プラットフォーム290が上昇及び/又は下降するように、構成される。図2で、プラットフォーム290は、上昇した位置に示されている。
[0029] 測定デバイス228が、回転モジュール106の天井206に連結される。一実施形態において、測定デバイス228は、基板上に堆積された膜の誘電特性を、チャンバ本体202の天井206に形成された窓230を通って検出するように構成された、エリプソメトリーデバイスであってよい。動的な計測は、膜特性均一性に対する基板の回転の有効性に対してリアルタイムフィードバックを提供することができる。
[0030] 図2に示された実施形態において、基板支持アセンブリ212は、完全に、回転モジュール106の内部容積210の中にある。基板支持アセンブリ212は、第一の移送チャンバ104aの内部容積280の中にも、第二の移送チャンバ104bの内部容積282の中にも延在していない。
[0031] 図3は、一実施形態による、図1の処理システム100の一部分の側面図を示す。図3は、第一の移送チャンバ104a、第二の移送チャンバ104b、及び回転モジュール106を含む。回転モジュール106は、第一の移送チャンバ104a及び第二の移送チャンバ104bの両方に連結される。回転モジュール106は、基板が第一の移送チャンバ104aと第二の移送チャンバ104bの間で移送され得るように、第一の移送チャンバ104aと第二の移送チャンバ104bの間の流体連結を可能にする。図3に示された実施形態において、基板支持アセンブリ212は、完全に回転モジュール106の内部容積210の中にあるというわけではない。それどころか、基板支持アセンブリ212は、第一の移送チャンバ104aの内部容積280及び第二の移送チャンバ104bの内部容積282の中に部分的に延在している。例えば、プラットフォーム290が、移送チャンバ104a、104bの中に伸びてもよい。従って、図3に示された実施形態において、回転モジュール106は、図2に示された回転モジュール106の内部容積210よりも小さい内部容積210を有する。
[0032] 図4は、図1に記載されたような処理システム100で基板を処理する方法400を示す。本方法400は、第一の処理チャンバ108内で基板上の膜堆積プロセスの第一の部分を実施することにより、ブロック402で開始する。基板は、第一の移送チャンバ104a内に配置されたロボット114aによって第一の処理チャンバ108に移送される。ロボット114aは、移送チャンバ104aと処理チャンバ108の間で基板を移動するように構成される。ロボット114aは、基板をロードロックチャンバ110から第一の移送チャンバ104aの中へ移送する。第一の処理チャンバ108は、CVDチャンバ、スピンオンコーティングチャンバ、流動性CVDチャンバ、PVDチャンバ、及びALDチャンバ、又は基板上に薄膜を堆積させるのに適した任意の他の堆積チャンバなどの、堆積チャンバであってよい。第一の処理チャンバ108内で、膜堆積プロセスの第一の部分が、基板上で実施される。
[0033] ブロック404で、図5A~図5Bによって示されるように、基板が、第一の処理チャンバ108から回転モジュール106に移送される。図5A~図5Bは、本方法400のブロック404での回転モジュール106を示す。図5Aは、ロボットが基板支持アセンブリ212上に基板501を位置決めしているときの、回転モジュール106を示す。垂直アクチュエータ220が、基板支持アセンブリ212をZ方向下方に作動させ、ロボット114aが基板支持アセンブリ212上に基板501を置くことを可能にする。基板のリフトピン222が、支持体アセンブリ212のプラットフォーム290を通って形成される。基板支持アセンブリ212が低くされているとき、リフトピン222が、基板受取り面214の上方に延びるように、Z方向上方に作動される。低い位置で、リフトピン222は、チャンバ本体202の底部208に接触する。その結果、リフトピン222は、基板受取り面214の上方に延びる。ロボット114aからのロボットブレード550が、移送チャンバ104aから開口を通って延び、基板501を内部容積210の中に位置決めする。リフトピン222を作動させることは、基板受取り面214が、ロボットブレード550の通路を妨害することなく、ロボットブレード550から基板501を受け取ることを可能にする。ブレードが基板501の下から取り除かれるとき、リフトピン222が、Z方向下方に作動し、基板501をプラットフォーム290の基板受取り面214上に位置決めし得る。リフトピン222をZ方向下方に作動させるために、基板支持アセンブリ212が、Z方向上方に作動され、リフトピン222は、チャンバ本体202の底部208ともはや接触しない。
[0034] 図5Bは、伸びた位置に上げられた基板支持アセンブリ212を有する回転モジュール106を示す。垂直アクチュエータ220が、基板支持アセンブリ212を伸びた位置に作動させる。伸びた位置において、回転アクチュエータ218は、基板支持アセンブリ212を回転させるように構成される(図5Cに示される)。示されているように、リフトピン222は、基板との接触から取り外される。基板は、今や基板受取り面214上に置かれている。伸びた位置で、第一の処理チャンバ108内で基板上に堆積された膜の特性が、測定デバイス228を用いて測定され得る。膜の特性を測定することは、堆積プロセスの段階中の膜均一性のより良い理解を可能にする。
[0035] 図4に戻って、ブロック406で、回転モジュール106が、図5Cに示されるように、既定の角度だけ回転される。図5Cは、ブロック406に記載されるように、回転アクチュエータ218による基板501の回転を示す。回転アクチュエータ218は、基板支持アセンブリ212のシャフト216を回転させ、それにより、プラットフォーム290及び基板501が、シャフト216とともに回転される。基板501の回転は、基板の最初に位置に対して、基板501の位置を変化させる。一実施形態において、回転アクチュエータ218は、基板501の中心軸の周りを約1度から360度の間だけ回転し得る。例えば、回転アクチュエータ218は、基板501を約90度から180度の間だけ回転させ得る。基板501が回転されると、ロボット114aが回転モジュール106から基板501を取り除くことができるように、図5A~図5Cに示されたプロセスが、逆の順序で実施される。
[0036] 図4の参照を続けて、ブロック408で、基板501が、回転モジュール106から第二の処理チャンバ108へ移送される。第二の処理チャンバ108内で、基板501は、ブロック410によって示されるように、膜堆積プロセスの第二の部分を経る。ロボット114bが、基板501を回転モジュール106から第二の移送チャンバ104bへ、その後、第二の処理チャンバ108へ移送する。膜堆積プロセスの第二の部分は、膜堆積プロセスの第一の部分と同じ膜堆積プロセスであってもよい。例えば、膜堆積プロセスの第二の部分は、CVDチャンバ、スピンオンコーティングチャンバ、流動性CVDチャンバ、PVDチャンバ、及びALDチャンバ、又は基板上に薄膜を堆積させるのに適した任意の他の堆積チャンバであってよい。
[0037] 満足のいく膜が基板上に形成されるまで、図4に記載された方法400を繰り返すことによって、基板の処理が進み得る。基板は、その後、処理システム100から取り除かれ得る。一実施形態において、基板は、4回の膜堆積プロセスを経て、回転モジュール106に4回移送されるように、約90度を4回回転されてもよい。従って、基板は、処理チャンバ108内で処理されるときに、処理チャンバ108内で4つの違った配向であってもよい。膜の特性もまた、回転モジュール106の頂部にある測定デバイス228を用いて、別々に4回測定され得る。
[0038] 図6は、一実施形態による、基板を処理する処理システム600を示す。処理システム600は、処理システム100と同様である。従って、図1を参照して上で記載された同様な構成要素を指すために、同様な番号が用いられている。処理システム600は、移送チャンバ104、回転モジュール606、及び1つ以上の処理チャンバ108を含む。処理システム600は、ロードロックチャンバ110、ファクトリインターフェース112、及びコントローラ113を含んでもよい。1つ以上の処理チャンバ108及び回転モジュール606が、移送チャンバ104に連結される。
[0039] 回転モジュール606は、回転モジュール106と同様である。従って、図1、図2、及び図3を参照して上で記載された同様な構成要素を指すために、同様な番号が用いられている。回転モジュール606は、移送チャンバ104と流体連結している。回転モジュール606は、基板を回転させるように構成される。回転モジュール606は、基板支持アセンブリ612を更に含む。基板支持アセンブリ612は、プラットフォーム690を含む。回転モジュール606は、プラットフォーム690の直径Dより短い長さLを有するような大きさである。従って、基板支持アセンブリ612は、移送チャンバ104の中に部分的に延在する。プラットフォーム690の直径Dと比べた回転モジュール606の長さLは、幾つかの利点を有する。回転モジュール606の処理容積Vが減少し、その結果、回転モジュール606をポンプダウンするのに必要な時間が少なくなる。加えて、プラットフォーム690が回転モジュール606の中に延在するので、移送チャンバと回転モジュールの間のスリットバルブドアなどの可動部品が除去される。
[0040] 図7は、一実施形態による、基板を処理する処理システム700を示す。処理システム700は、処理システム100と同様である。従って、図1を参照して上で記載された同様な構成要素を指すために、同様な番号が用いられている。処理システム700は、移送チャンバ104、回転モジュール706、及び1つ以上の処理チャンバ108を含む。回転モジュール706は、ロードロック位置に位置決めされる。回転モジュール706は、基板を回転させるように構成される。回転モジュール706及び1つ以上の処理チャンバ108は、移送チャンバ104と流体連結している。
[0041] 上記は本開示の実施形態を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他の更なる実施形態を考え出すこともでき、本開示の範囲は、以下の特許請求の範囲によって決定される。
100 処理システム
104a チャンバ
104b チャンバ
106 チャンバ
108 チャンバ
110 ロードロックチャンバ
112 ファクトリインターフェース
113 コントローラ
114a ロボット
114b ロボット
116 真空気密プラットフォーム
118 ポンプシステム
120 通信ケーブル
122 CPU
124 メモリ
126 サポート回路
202 チャンバ本体
204 側壁
206 天井
210 内部容積
212 基板支持体アセンブリ
214 基板受取り面
216 シャフト
218 回転アクチュエータ
220 垂直アクチュエータ
222 リフトピン
223 回転センサ
224 開口
226 ベローズ
228 測定デバイス
230 窓
280 内部容積
282 内部容積
290 プラットフォーム
292 シャフトシール
294 プレート
400 方法
402 ブロック
404 ブロック
406 ブロック
408 ブロック
410 ブロック
501 基板
550 ロボットブレード
600 処理システム
606 回転モジュール
612 基板支持体アセンブリ
690 プラットフォーム
700 処理システム
706 回転モジュール

Claims (15)

  1. 半導体処理用の処理チャンバであって、
    2つの移送チャンバと、
    前記2つの移送チャンバの1つに連結された処理チャンバと、
    前記移送チャンバ間に配置された回転モジュールであって、基板を回転させるように構成された回転モジュールと
    を備える、処理チャンバ。
  2. 半導体処理用の処理チャンバであって、
    移送チャンバと、
    前記移送チャンバに連結された処理チャンバと、
    前記移送チャンバに連結された回転モジュールであって、基板の一部が前記移送チャンバ内に残っている間に前記基板を回転させるように構成された回転モジュールと
    を備える、処理チャンバ。
  3. 前記回転モジュールが、
    内部容積を画定するチャンバ本体と、
    前記内部容積内に配置された基板支持アセンブリと、
    前記基板支持アセンブリに連結された回転アクチュエータであって、前記基板支持アセンブリを回転させるように構成された回転アクチュエータと
    を備える、請求項1又は2に記載の処理システム。
  4. 前記回転モジュールが、
    前記回転アクチュエータと通信する回転センサであって、前記基板支持アセンブリの回転を測定するように構成された回転センサを、
    更に備える、請求項3に記載の処理システム。
  5. 前記回転モジュールが、
    前記基板支持アセンブリに連結された垂直アクチュエータであって、前記基板支持アセンブリを垂直に移動させるように構成された垂直アクチュエータを、
    更に備える、請求項3に記載の処理システム。
  6. 前記回転モジュールが、
    前記チャンバ本体に連結された測定モジュールであって、基板上に堆積された膜の誘電特性を、前記チャンバ本体に形成された窓を通って検出するように構成されている測定モジュールを、
    更に備える、請求項3に記載の処理システム。
  7. 前記基板支持アセンブリが、前記回転モジュールの前記内部容積の中に完全に包含される、請求項3に記載の処理システム。
  8. 前記基板支持アセンブリが、第一の移送チャンバの内部容積及び第二の移送チャンバの内部容積の中に部分的に延在する、請求項3に記載の処理システム。
  9. 基板を処理する方法であって、
    処理チャンバ内で基板上に膜の第一の部分を堆積させることと、
    前記基板を回転モジュールに移送することと、
    前記基板を既定の量だけ回転させることと、
    前記基板を処理チャンバに移送することと、
    前記処理チャンバ内で前記基板上に前記膜の第二の部分を堆積させることと
    を含む方法。
  10. 前記処理チャンバ内で基板支持アセンブリをZ方向下方に作動させることと、
    前記処理チャンバ内で前記基板支持アセンブリ上に前記基板を位置決めすることであって、前記処理チャンバは、前記基板上に前記膜の前記第一の部分を堆積させるように構成されている、位置決めすることと、
    前記処理チャンバ内で前記基板支持アセンブリをZ方向上方に作動させることと
    を更に含む、請求項9に記載の方法。
  11. 前記基板が、前記処理チャンバから前記回転モジュールへ移送チャンバを通って移送される、請求項9に記載の方法。
  12. 前記基板が、前記移送チャンバの中に部分的に延在する基板支持アセンブリ上に位置決めされる、請求項11に記載の方法。
  13. 前記基板が180°回転される、請求項9に記載の方法。
  14. 前記基板が、n回回転され、n回の堆積を経る、請求項9に記載の方法。
  15. 前記基板が、4回回転され、4回の堆積を経る、請求項9に記載の方法。
JP2023147337A 2015-04-23 2023-09-12 半導体処理システムにおける外部基板回転 Pending JP2023175774A (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562151799P 2015-04-23 2015-04-23
US62/151,799 2015-04-23
JP2016084118A JP6966181B2 (ja) 2015-04-23 2016-04-20 半導体処理システムにおける外部基板回転
JP2021172093A JP7350035B2 (ja) 2015-04-23 2021-10-21 半導体処理システムにおける外部基板回転

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2021172093A Division JP7350035B2 (ja) 2015-04-23 2021-10-21 半導体処理システムにおける外部基板回転

Publications (1)

Publication Number Publication Date
JP2023175774A true JP2023175774A (ja) 2023-12-12

Family

ID=57147999

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016084118A Active JP6966181B2 (ja) 2015-04-23 2016-04-20 半導体処理システムにおける外部基板回転
JP2021172093A Active JP7350035B2 (ja) 2015-04-23 2021-10-21 半導体処理システムにおける外部基板回転
JP2023147337A Pending JP2023175774A (ja) 2015-04-23 2023-09-12 半導体処理システムにおける外部基板回転

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2016084118A Active JP6966181B2 (ja) 2015-04-23 2016-04-20 半導体処理システムにおける外部基板回転
JP2021172093A Active JP7350035B2 (ja) 2015-04-23 2021-10-21 半導体処理システムにおける外部基板回転

Country Status (5)

Country Link
US (3) US10431480B2 (ja)
JP (3) JP6966181B2 (ja)
KR (2) KR102543643B1 (ja)
CN (3) CN106067433B (ja)
TW (1) TWI677046B (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
US10249525B2 (en) * 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
KR102439085B1 (ko) * 2016-12-31 2022-08-31 어플라이드 머티어리얼스, 인코포레이티드 공간적 ald 프로세스 균일성을 개선하기 위한 웨이퍼 회전을 위한 장치 및 방법들
WO2019182913A1 (en) * 2018-03-20 2019-09-26 Tokyo Electron Limited Self-aware and correcting heterogenous platform incorporating integrated semiconductor processing modules and method for using same
US11499666B2 (en) * 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
KR20200000638A (ko) * 2018-06-25 2020-01-03 주성엔지니어링(주) 기판 처리 장치 및 기판 처리 방법
JP7475337B2 (ja) * 2018-09-28 2024-04-26 アプライド マテリアルズ インコーポレイテッド 動的水平化を備えた同軸リフト装置
TWI696224B (zh) * 2018-10-08 2020-06-11 馗鼎奈米科技股份有限公司 真空製程設備與真空製程方法
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
CN113767187A (zh) * 2019-04-19 2021-12-07 应用材料公司 形成含金属材料的方法
CN111235543B (zh) * 2020-01-21 2022-03-15 南京京东方显示技术有限公司 一种真空腔旋转角度异常的校正装置及方法
US20220108907A1 (en) * 2020-10-05 2022-04-07 Applied Materials, Inc. Semiconductor substrate support leveling apparatus
JP2022104056A (ja) 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置
WO2023164228A1 (en) * 2022-02-28 2023-08-31 Applied Materials, Inc. Crossflow deposition with substrate rotation for enhanced deposition uniformity

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
IL99823A0 (en) * 1990-11-16 1992-08-18 Orbot Instr Ltd Optical inspection method and apparatus
KR0165484B1 (ko) * 1995-11-28 1999-02-01 김광호 탄탈륨산화막 증착 형성방법 및 그 장치
KR19980029384A (ko) * 1996-10-25 1998-07-25 김광호 반도체 소자 제조 장치
US7619752B2 (en) * 2000-03-21 2009-11-17 J. A. Woollam Co., Inc. Sample orientation system and method
JP2002324829A (ja) * 2001-07-13 2002-11-08 Tokyo Electron Ltd 処理システム
KR101028065B1 (ko) * 2002-07-22 2011-04-08 브룩스 오토메이션 인코퍼레이티드 기판 처리 장치
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20050113976A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
JP2005259902A (ja) * 2004-03-10 2005-09-22 Hitachi Kokusai Electric Inc 基板処理装置
JP2006028577A (ja) * 2004-07-15 2006-02-02 Canon Anelva Corp Cvd装置
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
JP2007005435A (ja) * 2005-06-22 2007-01-11 Rorze Corp 処理装置
KR101291516B1 (ko) * 2005-06-24 2013-08-09 울박, 인크 위치 수정 장치, 진공 처리 장치, 및 위치 수정 방법
JP2005328081A (ja) * 2005-07-12 2005-11-24 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
TW200715448A (en) * 2005-07-25 2007-04-16 Canon Anelva Corp Vacuum processing apparatus, semiconductor device manufacturing method and semiconductor device manufacturing system
EP2041774A2 (en) 2006-07-03 2009-04-01 Applied Materials, Inc. Cluster tool for advanced front-end processing
KR20080004118A (ko) * 2006-07-04 2008-01-09 피에스케이 주식회사 기판 처리 설비
KR100965413B1 (ko) * 2008-04-18 2010-06-25 엘아이지에이디피 주식회사 기판 처리용 클러스터 장치 및 클러스터 장치의 기판 처리방법
US20100227059A1 (en) 2009-03-04 2010-09-09 Tokyo Electron Limited Film deposition apparatus, film deposition method, and computer readable storage medium
JP5068780B2 (ja) * 2009-03-04 2012-11-07 東京エレクトロン株式会社 成膜装置、成膜方法、プログラム、およびコンピュータ可読記憶媒体
CN101956173B (zh) * 2009-07-20 2013-06-05 鸿富锦精密工业(深圳)有限公司 使用承载组件的镀膜装置
US9512520B2 (en) 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
JP5993625B2 (ja) * 2012-06-15 2016-09-14 株式会社Screenホールディングス 基板反転装置、および、基板処理装置
US10049860B2 (en) * 2012-07-04 2018-08-14 Tokyo Electron Limited Substrate processing apparatus
KR102449103B1 (ko) 2014-03-12 2022-09-28 어플라이드 머티어리얼스, 인코포레이티드 반도체 챔버에서의 웨이퍼 회전
US10236197B2 (en) 2014-11-06 2019-03-19 Applied Materials, Inc. Processing system containing an isolation region separating a deposition chamber from a treatment chamber
TWI677046B (zh) * 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
JP2022104056A (ja) * 2020-12-28 2022-07-08 東京エレクトロン株式会社 搬送装置

Also Published As

Publication number Publication date
JP2022023889A (ja) 2022-02-08
KR102543643B1 (ko) 2023-06-13
KR20160126914A (ko) 2016-11-02
TWI677046B (zh) 2019-11-11
JP6966181B2 (ja) 2021-11-10
TW201642380A (zh) 2016-12-01
CN106067433B (zh) 2021-07-27
JP7350035B2 (ja) 2023-09-25
US11574825B2 (en) 2023-02-07
US10431480B2 (en) 2019-10-01
JP2017005242A (ja) 2017-01-05
US20160315000A1 (en) 2016-10-27
CN113611594A (zh) 2021-11-05
KR20230087431A (ko) 2023-06-16
US20200035522A1 (en) 2020-01-30
US20230162999A1 (en) 2023-05-25
CN205954106U (zh) 2017-02-15
CN106067433A (zh) 2016-11-02

Similar Documents

Publication Publication Date Title
JP7350035B2 (ja) 半導体処理システムにおける外部基板回転
US11742229B2 (en) Auto-calibration to a station of a process module that spins a wafer
US11408070B2 (en) Wafer processing apparatus and wafer processing method
US20140357085A1 (en) Etching method and non-transitory storage medium
US20230119730A1 (en) Substrate Processing Method and Substrate Processing Apparatus
KR20100110822A (ko) 열처리 장치 및 그 제어 방법
US11784070B2 (en) Heat treatment apparatus, heat treatment method, and film forming method
US11359732B1 (en) Method and mechanism for symmetrically controlling pressure in process chamber
CN108573900B (zh) 基板处理装置
US10777439B1 (en) Substrate processing apparatus
TWI838131B (zh) 對於旋轉晶圓之處理模組之處理站的自動校正
US20220005712A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Method of Processing Substrate Support
US20210087689A1 (en) Apparatus and Methods for Motor Shaft and Heater Leveling
US20220293399A1 (en) Substrate processing apparatus and method of controlling the same
JP2005333032A (ja) モニタ用被処理体の温度換算関数の形成方法、温度分布の算出方法及び枚葉式の熱処理装置
KR20220164417A (ko) 성막 방법 및 성막 장치
JP2021067502A (ja) 成膜装置、制御装置及び圧力計の調整方法
JP2013125796A (ja) プラズマ処理方法および装置
KR20070017850A (ko) 열처리 설비

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231012

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20231012