KR102450406B1 - 로우-k 스페이서를 제공하는 방법 - Google Patents

로우-k 스페이서를 제공하는 방법 Download PDF

Info

Publication number
KR102450406B1
KR102450406B1 KR1020197019250A KR20197019250A KR102450406B1 KR 102450406 B1 KR102450406 B1 KR 102450406B1 KR 1020197019250 A KR1020197019250 A KR 1020197019250A KR 20197019250 A KR20197019250 A KR 20197019250A KR 102450406 B1 KR102450406 B1 KR 102450406B1
Authority
KR
South Korea
Prior art keywords
spacers
sico
portions
protective
sidewalls
Prior art date
Application number
KR1020197019250A
Other languages
English (en)
Other versions
KR102450406B9 (ko
KR20190088065A (ko
Inventor
스트랫포드 에이. 와일드
브라이언 테시에
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020227033843A priority Critical patent/KR102610396B1/ko
Publication of KR20190088065A publication Critical patent/KR20190088065A/ko
Application granted granted Critical
Publication of KR102450406B1 publication Critical patent/KR102450406B1/ko
Publication of KR102450406B9 publication Critical patent/KR102450406B9/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • H01L21/28141Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects insulating part of the electrode is defined by a sidewall spacer, e.g. dummy spacer, or a similar technique, e.g. oxidation under mask, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00777Preserve existing structures from alteration, e.g. temporary protection during manufacturing
    • B81C1/00785Avoid chemical alteration, e.g. contamination, oxidation or unwanted etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Suspension Of Electric Lines Or Cables (AREA)
  • Prostheses (AREA)

Abstract

스페이서들을 갖는 반도체 디바이스들을 형성하는 방법이 제공된다. 피처들의 측면들 상에 SiCO 스페이서들이 형성된다. SiCO 스페이서들의 제 1 부분들 위에 보호 커버링들 (protective coverings) 이 형성되고, SiCO 스페이서들의 측벽들의 제 2 부분들은 보호 커버링들에 의해 커버되지 않는다. 보호 커버링들에 의해 커버되지 않은 SiCO 스페이서들의 제 2 부분들에 전환 프로세스가 제공되고, 보호 커버링들에 의해 커버되지 않은 SiCO 스페이서들의 제 2 부분들의 물리적 속성을 변화시키고, 보호 커버링들은 전환 프로세스로부터 SiCO 스페이서들의 제 1 부분들을 보호한다.

Description

로우-k 스페이서를 제공하는 방법
관련 출원에 대한 교차 참조
본 출원은 모든 목적들을 위해 참조로서 본 명세서에 인용된 2016년 12월 16일 출원된 미국 특허 출원 번호 제 15/381,594 호의 우선권의 이익을 주장한다.
본 개시는 반도체 웨이퍼 상에 반도체 디바이스들을 형성하는 방법에 관한 것이다. 보다 구체적으로, 본 개시는 로우 유전 상수를 갖는 스페이서들을 제공하는 것에 관한 것이다.
반도체 디바이스들을 형성할 때, 측벽 스페이서들이 피처들의 측면들 상에 형성된다. 측벽 스페이서들은 기생 커패시턴스를 유발할 수도 있다.
전술한 바를 달성하기 위해 그리고 본 개시의 목적에 따라, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법이 제공된다. 피처들의 측면들 상에 SiCO 스페이서들이 형성된다. SiCO 스페이서들의 제 1 부분들 위에 보호 커버링들 (protective coverings) 이 형성되고, SiCO 스페이서들의 측벽들의 제 2 부분들은 보호 커버링들에 의해 커버되지 않는다. 보호 커버링들에 의해 커버되지 않은 SiCO 스페이서들의 제 2 부분들에 전환 (conversion) 프로세스가 제공되고, 보호 커버링들에 의해 커버되지 않은 SiCO 스페이서들의 제 2 부분들의 물리적 속성을 변화시키고, 보호 커버링들은 전환 프로세스로부터 SiCO 스페이서들의 제 1 부분들을 보호한다.
또 다른 양상에서, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법이 제공된다. 피처들의 측면들 상에 SiCO 스페이서들이 형성된다. SiCO 스페이서들의 상단부들 및 측벽들의 부분 위에 보호 캡들이 형성되고, SiCO 스페이서들의 측벽들의 하단 부분들은 보호 캡들에 의해 커버되지 않는다. 보호 캡들에 의해 커버되지 않는 SiCO 스페이서들의 측벽들의 부분들에 전환 프로세스를 제공되고, 이는 보호 캡들에 의해 커버되지 않은 SiCO 스페이서들의 측벽들의 부분들의 k 값을 하강시키고, 보호 캡들은 전환 프로세스로부터 SiCO 스페이서들의 측벽들의 커버된 부분들을 보호한다.
본 발명의 이들 및 다른 피처들은 이하의 도면들과 함께 본 발명의 상세한 기술에 이하에 보다 상세히 기술될 것이다.
본 개시는 유사한 참조 번호들이 유사한 엘리먼트들을 참조하는 첨부된 도면들의 도면들에, 제한이 아닌 예로서 예시된다.
도 1은 일 실시예의 고레벨 플로우 차트이다.
도 2a 내지 도 2h는 일 실시예에 따라 프로세싱된 스택의 개략적인 단면도들이다.
본 발명은 이제 첨부된 도면들에 예시된 바와 같이 몇몇 바람직한 실시예들을 참조하여 상세히 기술될 것이다. 이하의 기술에서, 다수의 구체적인 상세들이 본 발명의 전체적인 이해를 제공하기 위해 진술된다. 그러나, 본 발명은 이들 구체적인 상세들 중 일부 또는 전부 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 공지의 프로세스 단계들 및/또는 구조체들은 본 발명을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다.
도 1은 일 실시예의 고레벨 플로우 차트이다. 이 실시예에서, SiCO (silicon carbon oxide) 스페이서들이 피처들의 측면들 상에 형성된다 (단계 104). 보호 캡들이 SiCO 스페이서들의 측벽들의 상단부들 및 부분들 위에 형성되고, SiCO 스페이서들의 측벽들의 하단 부분들이 보호 캡들에 의해 커버되지 않는다 (단계 108). 전환 프로세스가 보호 캡들에 의해 커버되지 않은 SiCO 스페이서들의 측벽들의 노출된 부분들에 제공되고, 이는 SiCO 스페이서들의 측벽들의 노출된 부분들의 k 값을 하강시키고, 보호 캡들은 전환 프로세스로부터 SiCO 스페이서들의 측벽들의 커버된 부분들을 보호한다 (단계 112). 보호 캡들은 제거된다 (단계 116). 보호 캡들에 의해 커버되지 않은 SiCO 스페이서들의 측벽들의 노출된 하단 부분들이 커버된다 (단계 120). SiCO 스페이서들의 상단부들은 에칭 또는 CMP 프로세스에 노출된다 (단계 124).
이 예에서, SiCO 스페이서들이 피처들의 측면들 상에 형성된다 (단계 104). 도 2a는 피처들 (208) 을 갖는 기판 (204) 을 갖는 스택 (200) 의 개략적인 단면도이다. 이 예에서, 피처들은 폴리 게이트의 하단 부분 (212) 및 게이트 캡의 상단 부분 (216) 을 갖는다. SiCO 스페이서들 (220) 은 피처들 (208) 의 측면들 상에 형성된다. 상이한 방법들이 피처들 (208) 의 측면들 상에 SiCO 스페이서들 (220) 을 형성하기 위해 사용될 수도 있다. 일 예에서, 컨포멀 (conformal) SiCO 층이 피처들 (208) 및 기판 위에 형성될 수도 있다. 컨포멀 SiCO 층의 수평 표면들은 SiCO 스페이서들 (220) 을 남기면서 에칭될 수도 있다. SOH (spin on hardmask) (224) 는 피처들 (208) 및 스페이서들 (220) 위에 증착된다. SOH (224) 는 피처들 (208) 및 스페이서들 (220) 의 상단부들을 노출하기 위해 부분적으로 에칭된다. 도 2b는 SOH (224) 가 피처들 (208) 및 스페이서들 (220) 의 상단부들을 노출하기 위해 부분적으로 에칭된 후 스택의 개략적인 단면도이다.
보호 캡들이 SiCO 스페이서들 (220) 의 측벽들의 상단부들 및 부분들 위 그리고 피처들 (208) 의 상단부 위에 형성되고, SiCO 스페이서들의 측벽들의 하단 부분들은 보호 캡들에 의해 커버되지 않는다 (단계 108). 이 예에서, 캡들은 컨포멀 보호 층을 증착함으로써 형성된다. 일 예에서, 챔버 압력이 3 내지 5 mTorr로 유지되는 동안, 캡 형성 가스가 프로세싱 챔버를 통해 5 내지 30 sccm의 CH4 또는 CH3F 및 100 내지 200 sccm의 Ar을 흘림으로써 제공된다. 캡 형성 가스는 챔버로 100 내지 600 W의 RF 전력을 제공함으로써 플라즈마로 변환된다 (transform). 프로세스는 10 내지 30 초 동안 제공되고, 컨포멀 보호 층의 형성을 발생시킨다. 도 2c는 컨포멀 보호 층 (228) 이 SiCO 스페이서들 (220) 의 측벽들의 상단부들 및 부분들 위 그리고 피처들 (208) 의 상단부들 위에 형성된 후 스택 (200) 의 개략적인 단면도이다. 컨포멀 보호 층 (228) 은 SOH 층 (224) 의 상단부 상에 형성된다. SOH 층 (224) 및 SOH 층 (224) 의 상단부 상의 컨포멀 보호 층 (228) 의 부분들이 제거된다. SOH (224) 및 SOH 층 (224) 의 상단부 상의 컨포멀 보호 층 (228) 의 부분들을 제거하기 위한 레시피는 20 내지 60 mTorr의 압력을 유지하는 동안 50 내지 200 sccm의 N2 및 H2 또는 H2 및 CO2 또는 N2 및 O2의 제거 가스를 흘린다. 제거 가스는 챔버로 300 내지 1000 W의 RF 전력을 제공함으로써 플라즈마로 형성된다. 프로세스는 SOH (224) 및 컨포멀 보호 층 (228) 의 특정한 부분들이 제거될 때까지 30 내지 120 초 동안 제공된다. 도 2d는 SOH 층 및 보호 층의 일부가 제거된 후 스택 (200) 의 개략적인 단면도이다. 보호 층의 남아 있는 부분은 SiCO 스페이서들 (220) 의 측벽들의 상단부 및 부분들 피처들 (208) 의 상단부들 위에 보호 캡들 (232) 을 형성한다. SiCO 스페이서들의 측벽들의 하단 부분들 (224) 은 보호 캡들 (232) 에 의해 커버되지 않는다.
전환 프로세스가 보호 캡들로 커버되지 않은 SiCO 스페이서들의 측벽들의 노출된 부분들에 제공되고, SiCO 스페이서들의 측벽들의 노출된 부분들의 k 값을 하강시키고, 보호 캡들은 전환 프로세스로부터 SiCO 스페이서들의 측벽들의 커버된 부분들을 보호한다 (단계 112). 전환 프로세스를 제공하는 레시피의 일 예는 1 내지 2 Torr의 압력 및 200 ℃ 내지 300 ℃의 온도를 유지하는 동안, 2000 내지 4000 sccm의 O2 및 500 sccm의 N2 의 전환 가스를 프로세스 챔버 내로 흘린다. 전환 가스는 3000 내지 4000 W의 RF 전력을 챔버로 제공함으로써 플라즈마로 형성된다. 프로세스는 SiCO 스페이서들의 노출된 부분들의 k 값을 하강시킴으로써, 노출된 부분들이 전환될 때까지 30 내지 60 초 동안 제공된다. 도 2e는 전환 프로세스가 제공된 후 스택 (200) 의 개략적인 단면도이다. SiCO 스페이서들 (220) 의 측벽들의 하단 부분들 (236) 은 SiCO 스페이서들의 유전체 k 값을 하강시키도록 프로세싱된다. 이 예에서 k 값은 4.9 로부터 4.4로 하강된다.
보호 캡들이 제거된다 (단계 116). 보호 캡들을 제거하는 레시피의 일 예는 5 내지 30 mTorr의 압력을 유지하는 동안, 5 내지 20 sccm의 CF4 또는 SF6 및 150 sccm의 He의 캡 제거 가스를 흘린다. 캡 제거 가스는 300 내지 1000 W의 RF 전력을 챔버로 제공함으로써 플라즈마로 형성된다. 프로세스 시간은 보호 캡들의 두께에 기초한다. 도 2f는 보호 캡들이 제거된 후 스택 (200) 의 개략적인 단면도이다.
이들 단계들 전 또는 단계들 후 또는 단계들 사이에 스택을 더 프로세싱하기 위해 부가적인 단계들이 제공될 수도 있다. 예를 들어, SiCO 스페이서들이 형성된 후 그리고 보호 캡들이 형성되기 전에 소스 및 드레인 영역들이 피처들 사이에 형성될 수도 있다. 보호 캡들이 제거된 후 프로세스의 일 예에서, 유전체 층이 스페이서들 사이에 스페이스를 충진하도록 증착될 수도 있다. 증착된 유전체 층은 보호 캡들에 의해 커버되지 않은 SiCO 스페이서들의 측벽들의 노출된 하단 부분들로 하여금 커버되게 한다 (단계 120). 일 예에서, 증착된 유전체 층은 유동성 (flowable) 부압 (sub-atmospheric pressure) CVD (chemical vapor deposition) 또는 원자 층 증착된 옥사이드를 사용하여 증착될 수도 있다. 도 2g는 유전체 층 (240) 이 측벽 스페이서들 사이의 스페이스들을 충진하기 위해 증착된 후 스택 (200) 의 개략적인 단면도이다. SiCO 스페이서들의 상단부들은 에칭 또는 CMP (chemical mechanical polishing) 프로세스에 노출된다 (단계 124). 도 2h는 SiCO 스페이서들의 상단부들이 CMP 프로세스를 겪은 후 스택 (200) 의 개략적인 단면도이다. 자기 정렬된 (self aligned) 콘택트들이 피처의 상단부들에 전기적으로 접속될 수도 있다.
이 예는 다양한 플라즈마 기반 화학물질들로의 노출에 의해 SPARC (Single Precursor Activated Radicals Chemistry) 막의 속성들을 개질, 예컨대 유전체 k 값을 하강시키는 동안, 이러한 막을 에칭 상호작용들 및 dHF (diluted hydrofluoric acid) 습식 세정들에 대해 보다 덜 견고하게 하는 능력을 활용한다.
이 예에서, 보호 캡은 전환 프로세스로부터 측벽 스페이서들의 상단 부분을 보호하고, 이는 보호되지 않은 측벽 스페이서들의 하단 부분의 k 값을 하강시킨다. 그 결과, 측벽 스페이서들의 상단 부분은 보다 높은 탄소 함량 및 에칭 내성을 유지한다. 이 예에서, 측벽의 하단 부분은 k 값을 하강시키고 측벽의 하단 부분의 에칭 내성이 보다 작게 하는 전환 프로세스에 노출될 수도 있고, 이는 측벽들의 하단 부분을 측벽들의 보호된 부분들보다 습식 HF 또는 플라즈마 에칭에 보다 덜 민감하게 한다. 측벽들의 하단 부분들의 에칭 내성이 보다 작기 때문에, 유전체 층이 측벽들의 하단 부분을 보호하고 추가 디바이스 형성을 위해 증착된다.
CA, Fremont 소재의 Lam Research Corp.에 의해 제조된 Striker 챔버가 SiCO 측벽들을 증착하도록 사용될 수도 있다.
바람직하게, SiCO 측벽 스페이서들은 처음에 4.7 로부터 4.9 사이의 유전 상수 k를 갖는다. 전환 프로세스는 유전 상수 k를 적어도 0.4만큼 하강시킨다. 그 결과, 전환된 SiCO 측벽들은 4.5보다 작은 유전 상수를 갖는다. 그 결과, 유전 상수는 약 8 % 내지 10 %만큼 하강된다. 따라서, 기생 커패시턴스는 약 8 % 내지 10 %만큼 하강된다.
다른 실시예들에서, 측벽 스페이서들의 상단부 대신, 다른 부분들이 전환 프로세스로부터 보호될 수도 있는 한편, 측벽 스페이서들의 하단부 대신, 다른 부분들이 전환 프로세스에 노출될 수도 있다. 이러한 실시예들이 다중 집적 설계들을 해결하기 위해 사용될 수도 있다. 다른 실시예들은 측벽 스페이서들의 k 값을 하강시키도록 다른 전환 프로세스 단계들을 사용할 수도 있다. 예를 들어, O2 기판 애싱 (ashing) 을 사용하는 대신, 형성 가스 기반 애싱이 사용될 수도 있다. 형성 가스는 수소 및 질소 가스이다. 이러한 가스는 N2 및 H2 또는 NH3 또는 NH4OH 또는 이러한 가스들의 조합들로부터 형성될 수도 있다. 다른 실시예들에서, 다른 전환 프로세스들이 k 값을 하강시키는 대신 측벽 스페이서들의 다른 물리적 속성들을 변화시키도록 사용될 수도 있다.
기생 커패시턴스는 발전된 finfet 디바이스들에서 핵심 성능 리미터 (limiter) 이다. 게이트 대 콘택트 커패시턴스는 7 ㎚ 노드 및 그 이상에서 총 유효 커패시턴스의 주 동인 (driver) 이다. 7 ㎚ 노드, 기생 커패시턴스는 약 40 %의 유효 커패시턴스를 형성한다. 따라서, 기생 커패시턴스의 상당한 감소가 유효 커패시턴스에서 상당한 감소를 발생시킨다.
본 발명이 몇몇 바람직한 실시예들의 면에서 기술되었지만, 이 발명의 범위 내에 있는, 교환, 변경, 치환 및 다양한 대체 등가물들이 있다. 본 발명의 방법들 및 장치들을 구현하는 많은 다양한 방식들이 있다는 것을 또한 주의해야 한다. 따라서, 이하에 첨부된 청구항들은 본 발명의 진정한 정신 및 범위 내에 속하는 한, 이러한 모든 교환, 변경, 치환 및 다양한 대체 등가물들을 포함하는 것으로 해석되도록 의도된다.

Claims (20)

  1. 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법에 있어서,
    피처들의 측면들 상에 SiCO 스페이서들을 형성하는 단계;
    상기 SiCO 스페이서들의 제 1 부분들 위에 보호 커버링들 (protective coverings) 을 형성하는 단계로서, 상기 SiCO 스페이서들의 측벽들의 제 2 부분들은 상기 보호 커버링들에 의해 커버되지 않는, 상기 보호 커버링들을 형성하는 단계; 및
    상기 보호 커버링들에 의해 커버되지 않은 상기 SiCO 스페이서들의 상기 제 2 부분들에 전환 프로세스를 제공하는 단계로서, 상기 보호 커버링들에 의해 커버되지 않은 상기 SiCO 스페이서들의 상기 제 2 부분들의 물리적 속성을 변화시키고, 상기 보호 커버링들은 상기 전환 프로세스로부터 상기 SiCO 스페이서들의 상기 제 1 부분들을 보호하는, 상기 전환 프로세스를 제공하는 단계를 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  2. 제 1 항에 있어서,
    상기 SiCO 스페이서들의 상기 제 1 부분들은 상기 SiCO 스페이서들의 상단부들 및 상기 SiCO 스페이서들의 상기 측벽들의 상단 부분들이고, 그리고 상기 SiCO 스페이서들의 상기 제 2 부분들은 상기 SiCO 스페이서들의 상기 측벽들의 하단 부분들이고, 상기 보호 커버링들은 보호 캡들 (protective caps) 을 형성하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  3. 제 2 항에 있어서,
    상기 전환 프로세스는 상기 SiCO 스페이서들의 상기 제 2 부분들의 k 값을 적어도 0.4만큼 하강시키는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  4. 제 3 항에 있어서,
    상기 전환 프로세스를 제공한 후에 상기 보호 캡들을 제거하는 단계를 더 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  5. 제 4 항에 있어서,
    상기 전환 프로세스를 겪은 상기 SiCO 스페이서들의 상기 제 2 부분들을 커버하는 단계를 더 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  6. 제 5 항에 있어서,
    상기 전환 프로세스를 겪은 상기 SiCO 스페이서들의 상기 제 2 부분들을 커버하는 단계는 상기 SiCO 스페이서들 사이의 스페이스들을 유전체 층으로 충진하는 단계를 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  7. 제 6 항에 있어서,
    상기 SiCO 스페이서들의 상기 상단부들을 에칭 또는 CMP (chemical mechanical polishing) 에 노출하는 단계를 더 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  8. 제 7 항에 있어서,
    상기 전환 프로세스는 상기 보호 캡들에 의해 커버되지 않은 상기 SiCO 스페이서들의 상기 제 2 부분들을 산소를 포함하는 가스 또는 형성 가스 (forming gas) 로부터 형성된 플라즈마에 노출하는 단계를 더 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  9. 제 8 항에 있어서,
    상기 보호 캡들을 형성하는 단계는,
    노출된 상기 SiCO 스페이서들의 상기 상단부들을 갖는 상기 SiCO 스페이서들 사이에 희생층을 제공하는 단계;
    상기 SiCO 스페이서들의 상기 상단부들 위 그리고 상기 희생층의 상단부 위에 보호층을 증착하는 단계; 및
    상기 희생층 및 상기 희생층의 상기 상단부 위의 상기 보호층의 부분들을 제거하는 단계를 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  10. 제 2 항에 있어서,
    상기 보호 캡들을 형성하는 단계는,
    노출된 상기 SiCO 스페이서들의 상기 상단부들을 갖는 상기 SiCO 스페이서들 사이에 희생층을 제공하는 단계;
    상기 SiCO 스페이서들의 상기 상단부들 위 그리고 상기 희생층의 상단부 위에 보호층을 증착하는 단계; 및
    상기 희생층 및 상기 희생층의 상기 상단부 위의 상기 보호층의 부분들을 제거하는 단계를 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  11. 제 1 항에 있어서,
    상기 전환 프로세스는 상기 SiCO 스페이서들의 상기 제 2 부분들의 k 값을 적어도 0.4만큼 하강시키는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  12. 제 1 항에 있어서,
    상기 전환 프로세스를 제공한 후 상기 보호 커버링들을 제거하는 단계를 더 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  13. 제 1 항에 있어서,
    상기 전환 프로세스를 겪은 상기 SiCO 스페이서들의 상기 제 2 부분들을 커버하는 단계를 더 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  14. 제 1 항에 있어서,
    에칭 또는 CMP (chemical mechanical polishing) 에 상기 SiCO 스페이서들의 상기 제 1 부분들을 노출하는 단계를 더 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  15. 제 1 항에 있어서,
    상기 전환 프로세스는 상기 보호 커버링들에 의해 커버되지 않은 상기 SiCO 스페이서들의 상기 제 2 부분들을 산소를 포함하는 가스 또는 형성 가스로부터 형성된 플라즈마에 노출시키는 단계를 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  16. 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법에 있어서,
    피처들의 측면들 상에 SiCO 스페이서들을 형성하는 단계;
    상기 SiCO 스페이서들의 상단부들 및 측벽들의 부분 위에 보호 캡들을 형성하는 단계로서, 상기 SiCO 스페이서들의 상기 측벽들의 하단 부분들은 상기 보호 캡들에 의해 커버되지 않는, 상기 보호 캡들을 형성하는 단계; 및
    상기 보호 캡들에 의해 커버되지 않는 상기 SiCO 스페이서들의 상기 측벽들의 상기 부분들에 전환 프로세스를 제공하는 단계로서, 이는 상기 보호 캡들에 의해 커버되지 않은 상기 SiCO 스페이서들의 상기 측벽들의 상기 부분들의 k 값을 하강시키고, 상기 보호 캡들은 상기 전환 프로세스로부터 상기 SiCO 스페이서들의 상기 측벽들의 커버된 부분들을 보호하는, 상기 전환 프로세스를 제공하는 단계를 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  17. 제 16 항에 있어서,
    상기 전환 프로세스는 상기 보호 캡들에 의해 커버되지 않은 상기 SiCO 스페이서들의 상기 측벽들의 상기 부분들의 k 값을 적어도 0.4만큼 하강시키는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  18. 제 17 항에 있어서,
    상기 전환 프로세스를 제공한 후 상기 보호 캡들을 제거하는 단계를 더 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  19. 제 18 항에 있어서,
    상기 SiCO 스페이서들 사이의 스페이스들을 유전체 층으로 충진함으로써 상기 전환 프로세스를 겪은 상기 SiCO 스페이서들의 상기 측벽들의 상기 부분들을 커버하는 단계를 더 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
  20. 제 19 항에 있어서,
    상기 전환 프로세스는 상기 보호 캡들에 의해 커버되지 않은 상기 SiCO 스페이서들의 제 2 부분들을 산소를 포함하는 가스 또는 형성 가스로부터 형성된 플라즈마에 노출하는 단계를 포함하는, 스페이서들을 갖는 반도체 디바이스들을 형성하는 방법.
KR1020197019250A 2016-12-16 2017-12-05 로우-k 스페이서를 제공하는 방법 KR102450406B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227033843A KR102610396B1 (ko) 2016-12-16 2017-12-05 로우-k 스페이서를 제공하는 방법

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/381,594 US10224414B2 (en) 2016-12-16 2016-12-16 Method for providing a low-k spacer
US15/381,594 2016-12-16
PCT/US2017/064761 WO2018111627A1 (en) 2016-12-16 2017-12-05 METHOD FOR PROVIDING A LOW-k SPACER

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227033843A Division KR102610396B1 (ko) 2016-12-16 2017-12-05 로우-k 스페이서를 제공하는 방법

Publications (3)

Publication Number Publication Date
KR20190088065A KR20190088065A (ko) 2019-07-25
KR102450406B1 true KR102450406B1 (ko) 2022-09-30
KR102450406B9 KR102450406B9 (ko) 2023-12-15

Family

ID=62559510

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197019250A KR102450406B1 (ko) 2016-12-16 2017-12-05 로우-k 스페이서를 제공하는 방법
KR1020227033843A KR102610396B1 (ko) 2016-12-16 2017-12-05 로우-k 스페이서를 제공하는 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227033843A KR102610396B1 (ko) 2016-12-16 2017-12-05 로우-k 스페이서를 제공하는 방법

Country Status (5)

Country Link
US (1) US10224414B2 (ko)
KR (2) KR102450406B1 (ko)
CN (2) CN116884845A (ko)
TW (1) TWI745505B (ko)
WO (1) WO2018111627A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11424118B2 (en) 2020-01-23 2022-08-23 Micron Technology, Inc. Electronic devices comprising silicon carbide materials

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10234735A1 (de) * 2002-07-30 2004-02-12 Infineon Technologies Ag Verfahren zum vertikalen Strukturieren von Substraten in der Halbleiterprozesstechnik mittels inkonformer Abscheidung
US7176137B2 (en) * 2003-05-09 2007-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
JP2007096002A (ja) 2005-09-29 2007-04-12 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US7393746B2 (en) * 2006-10-12 2008-07-01 International Business Machines Corporation Post-silicide spacer removal
US7667263B2 (en) * 2007-02-07 2010-02-23 International Business Machines Corporation Semiconductor structure including doped silicon carbon liner layer and method for fabrication thereof
US8268727B2 (en) * 2009-04-20 2012-09-18 GlobalFoundries, Inc. Methods for fabricating FinFET semiconductor devices using planarized spacers
KR20100127668A (ko) * 2009-05-26 2010-12-06 주식회사 하이닉스반도체 수직형 트랜지스터의 매몰 비트 라인 형성 방법
CN102110651B (zh) 2009-12-29 2014-01-29 中国科学院微电子研究所 一种半导体器件及其制造方法
US8039386B1 (en) * 2010-03-26 2011-10-18 Freescale Semiconductor, Inc. Method for forming a through silicon via (TSV)
DE102010028462B4 (de) 2010-04-30 2015-06-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen
US8247278B2 (en) * 2010-12-31 2012-08-21 Institute of Microelectronics, Chinese Academy of Sciences Method for manufacturing semiconductor device
US9287385B2 (en) 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
US9111746B2 (en) * 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US8574978B1 (en) * 2012-04-11 2013-11-05 United Microelectronics Corp. Method for forming semiconductor device
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
KR102050779B1 (ko) * 2013-06-13 2019-12-02 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
JP6218459B2 (ja) * 2013-07-02 2017-10-25 キヤノン株式会社 除振装置、除振方法、リソグラフィ装置及びデバイスの製造方法
US10158000B2 (en) * 2013-11-26 2018-12-18 Taiwan Semiconductor Manufacturing Company Limited Low-K dielectric sidewall spacer treatment
US9378975B2 (en) 2014-02-10 2016-06-28 Tokyo Electron Limited Etching method to form spacers having multiple film layers
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
US9202751B2 (en) * 2014-04-07 2015-12-01 Globalfoundries Inc. Transistor contacts self-aligned in two dimensions
US9269792B2 (en) * 2014-06-09 2016-02-23 International Business Machines Corporation Method and structure for robust finFET replacement metal gate integration
US9478660B2 (en) * 2015-01-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Protection layer on fin of fin field effect transistor (FinFET) device structure
US10090360B2 (en) * 2015-02-13 2018-10-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor structure including a plurality of trenches
US9437694B1 (en) 2015-04-01 2016-09-06 Stmicroelectronics (Crolles 2) Sas Transistor with a low-k sidewall spacer and method of making same
KR102251061B1 (ko) * 2015-05-04 2021-05-14 삼성전자주식회사 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법
US9385318B1 (en) * 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US9711533B2 (en) * 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same

Also Published As

Publication number Publication date
KR102450406B9 (ko) 2023-12-15
TWI745505B (zh) 2021-11-11
CN110073467A (zh) 2019-07-30
KR20190088065A (ko) 2019-07-25
US20180175161A1 (en) 2018-06-21
CN116884845A (zh) 2023-10-13
KR102610396B1 (ko) 2023-12-06
KR20220137174A (ko) 2022-10-11
US10224414B2 (en) 2019-03-05
CN110073467B (zh) 2023-06-27
TW201837972A (zh) 2018-10-16
WO2018111627A1 (en) 2018-06-21

Similar Documents

Publication Publication Date Title
US10868143B2 (en) Spacers with rectangular profile and methods of forming the same
JP5273482B2 (ja) 半導体処理のための方法
US9508560B1 (en) SiARC removal with plasma etch and fluorinated wet chemical solution combination
KR100780944B1 (ko) 탄소함유막 식각 방법 및 이를 이용한 반도체 소자의 제조방법
US11508583B2 (en) Selective high-k formation in gate-last process
US20090017563A1 (en) Plasma treatment and repair processes for reducing sidewall damage in low-k dielectrics
JP2010503207A5 (ko)
KR100672823B1 (ko) 반도체 장치에서 배선의 형성 방법
US20140038399A1 (en) Method for fabricating an aperture
CN102148191B (zh) 接触孔形成方法
KR20160100191A (ko) 핀 구조물을 포함하는 반도체 디바이스 및 이의 제조 방법
CN108807377B (zh) 半导体器件及其形成方法
KR102450406B1 (ko) 로우-k 스페이서를 제공하는 방법
US9076845B2 (en) Method of forming a high density dielectric etch-stop layer
US7538037B2 (en) Method for manufacturing semiconductor device
KR20010030088A (ko) 절연층 에칭 방법 및 반도체 장치 제조 방법
JP2022144220A (ja) 半導体装置の製造方法およびエッチング方法
CN105655252A (zh) 半导体结构形成方法
KR100920043B1 (ko) 반도체 소자의 리세스 게이트 및 그의 형성방법
KR20070064837A (ko) 반도체 소자의 캐패시터 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]