CN110073467A - 用于提供低k间隔物的方法 - Google Patents

用于提供低k间隔物的方法 Download PDF

Info

Publication number
CN110073467A
CN110073467A CN201780077978.XA CN201780077978A CN110073467A CN 110073467 A CN110073467 A CN 110073467A CN 201780077978 A CN201780077978 A CN 201780077978A CN 110073467 A CN110073467 A CN 110073467A
Authority
CN
China
Prior art keywords
spacer
sico
converting process
side wall
method described
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201780077978.XA
Other languages
English (en)
Other versions
CN110073467B (zh
Inventor
斯特拉特福德·A·维尔德
布莱恩·泰西耶
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202310671148.7A priority Critical patent/CN116884845A/zh
Publication of CN110073467A publication Critical patent/CN110073467A/zh
Application granted granted Critical
Publication of CN110073467B publication Critical patent/CN110073467B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00777Preserve existing structures from alteration, e.g. temporary protection during manufacturing
    • B81C1/00785Avoid chemical alteration, e.g. contamination, oxidation or unwanted etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Suspension Of Electric Lines Or Cables (AREA)
  • Prostheses (AREA)

Abstract

提供了一种形成具有间隔物的半导体器件的方法。在特征的侧面上形成SiCO间隔物。在所述SiCO间隔物的第一部分上形成保护性覆盖物,其中所述SiCO间隔物的所述侧壁的第二部分未被所述保护性覆盖物覆盖。对所述SiCO间隔物的未被所述保护性覆盖物覆盖的所述第二部分提供转变工艺,这改变了所述SiCO间隔物的未被所述保护性覆盖物覆盖的所述第二部分的物理性质,其中所述保护性覆盖物保护所述SiCO间隔物的所述第一部分不受所述转变工艺的影响。

Description

用于提供低k间隔物的方法
相关申请的交叉引用
本申请要求2016年12月16日提交的美国申请No.15/381,594的优先权权益,其通过引用并入本文以用于所有目的。
技术领域
本公开涉及一种用于在半导体晶片上形成半导体器件的方法。更具体地,本公开涉及提供具有低介电常数的间隔物。
在形成半导体器件中,侧壁间隔物形成在特征的侧面上。侧壁间隔物可能导致寄生电容。
发明内容
为了实现前述内容并且根据本公开的目的,提供了一种形成具有间隔物的半导体器件的方法。在特征的侧面上形成SiCO间隔物。在所述SiCO间隔物的第一部分上形成保护性覆盖物,其中所述SiCO间隔物的所述侧壁的第二部分未被所述保护性覆盖物覆盖。对所述SiCO间隔物的未被所述保护性覆盖物覆盖的所述第二部分提供转变工艺,从而改变所述SiCO间隔物的未被所述保护性覆盖物覆盖的所述第二部分的物理性质,其中所述保护性覆盖物保护所述SiCO间隔物的所述第一部分不受所述转变工艺的影响。
在另一表现形式中,提供了一种用于形成具有间隔物的半导体器件的方法。在特征的侧面上形成SiCO间隔物。在所述SiCO间隔物的顶部和侧壁的一部分上形成保护帽,其中所述SiCO间隔物的所述侧壁的底部部分未被所述保护帽覆盖。对所述SiCO间隔物的所述侧壁的未被所述保护帽覆盖的所述部分提供转变工艺,这降低了所述SiCO间隔物的所述侧壁的未被所述保护帽覆盖的所述部分的k值,其中所述保护帽保护所述SiCO间隔物的所述侧壁的被覆盖部分不受所述转变工艺的影响。
本发明的这些特征和其它特征将在下面在本发明的详细描述中并结合以下附图进行更详细的描述。
附图说明
在附图中以实施例而非限制的方式示出了本公开,并且附图中相似的附图标记表示相似的元件,其中:
图1是一种实施方案的高阶流程图。
图2A-图2H是根据一种实施方案处理的叠层的示意性横截面图。
具体实施方式
现在将参考附图中所示的几个优选实施方案来详细描述本发明。在下面的描述中,阐述了许多具体细节以便提供对本发明的彻底理解。然而,对于本领域技术人员显而易见的是,本发明可以在没有这些具体细节中的一些或全部的情况下实施。在其他情况下,未详细描述公知的工艺步骤和/或结构,以免不必要地使本发明不清楚。
图1是一种实施方案的高阶流程图。在该实施方案中,在特征的侧面上形成硅碳氧化物(SiCO)间隔物(步骤104)。在SiCO间隔物的侧壁的部分和顶部上形成保护帽,其中SiCO间隔物的侧壁的底部部分未被保护帽覆盖(步骤108)。对SiCO间隔物的侧壁的未被保护帽覆盖的暴露部分提供转变工艺,这降低了SiCO间隔物的侧壁的暴露部分的k值,其中保护帽保护SiCO间隔物的侧壁的被覆盖的部分不受转变工艺的影响(步骤112)。去除保护帽(步骤116)。覆盖SiCO间隔物的侧壁的未被保护帽覆盖的暴露的底部部分(步骤120)。将SiCO间隔物的顶部暴露于蚀刻或CMP工艺(步骤124)。
实施例
在该实施例中,在特征的侧面上形成SiCO间隔物(步骤104)。图2A是具有带有特征208的衬底204的叠层200的示意性剖视图。在该实施例中,这些特征具有多晶栅极(polygate)的底部212和栅极盖的顶部216。SiCO间隔物220形成在特征208的侧面上。可以使用不同的方法在特征208的侧面上形成SiCO间隔物220。在一实施例中,可以在特征208和衬底上形成共形SiCO层。可以蚀刻掉共形SiCO层的水平表面,留下SiCO间隔物220。在特征208和间隔物220上沉积旋涂硬掩模(SOH)224。部分蚀刻SOH 224以暴露特征208和间隔物220的顶部。图2B是在SOH 224已被部分蚀刻以暴露特征208和间隔物220的顶部之后的叠层的示意性剖视图。
在SiCO间隔物220的侧壁的部分和顶壁上以及在特征208的顶部上方形成保护帽,其中SiCO间隔物的侧壁的底部部分未被保护帽覆盖(步骤108)。在该实施例中,通过沉积共形保护层来形成帽。在一实施例中,通过使5-30sccm的CH4或CH3F和100-200sccm的Ar流过处理室来提供帽形成气体,同时将室压保持在3mTorr至5mTorr之间。通过向室提供100瓦至600瓦的RF功率,将帽形成气体转变成等离子体。使该过程持续10至30秒,这导致形成共形保护层。图2C是在SiCO间隔物220的侧壁的部分和顶壁上以及在特征208的顶部上形成共形保护层228之后的叠层200的示意性剖视图。共形保护层228在SOH层224的顶部上形成。去除共形保护层228的在SOH层224的顶部上的部分和SOH层224。用于去除共形保护层228的在SOH层224的顶部上的部分和SOH层224的配方使去除气体N2和H2或H2和CO2或N2和O2以50至200sccm流动,同时保持20毫托至60毫托的压强。通过向室提供300至1000瓦的RF功率,使去除气体形成等离子体。使该过程持续30至120秒,直到除去SOH 224和共形保护层228的某些部分。图2D是在去除保护层的一部分和SOH层之后的叠层200的示意性剖视图。保护层的剩余部分在SiCO间隔物220的侧壁的部分和顶部之上以及在特征208的顶部上方形成保护帽232。SiCO间隔物224的侧壁的底部部分未被保护帽232覆盖。
对SiCO间隔物的侧壁的未被保护帽覆盖的暴露部分提供转变工艺,这降低了SiCO间隔物的侧壁的暴露部分的k值,其中保护帽保护SiCO间隔物的侧壁的覆盖部分不受转变工艺的影响(步骤112)。用于提供转变工艺的配方的实施例将2000至4000sccm O2和500sccm N2的转变气体流入处理室,同时保持1至2托的压强和200℃至300℃的温度。通过向室提供3000至4000瓦的RF功率,使转变气体形成等离子体。使该工艺持续30至60秒直到通过降低暴露部分的k值,使SiCO间隔物的暴露部分转变。图2E是在提供转变工艺之后的叠层200的示意性剖视图。已经处理SiCO间隔物220的侧壁的底部236以降低SiCO间隔物的介电k值。在该实施例中,k值从4.9降低到4.4。
去除保护帽(步骤116)。用于去除保护帽的配方的实施例使5至20sccm CF4或SF6和150sccm He的帽去除气体流动,同时保持5至30mTorr的压强。通过向室提供300至1000瓦的RF功率,使帽去除气体形成为等离子体。处理时间基于保护帽的厚度。图2F是在去除保护帽之后的叠层200的示意性剖视图。
可以在这些步骤之前或之后或之间提供附加步骤以进一步处理叠层。例如,在形成SiCO间隔物之后并且在形成保护帽之前,可以在特征之间形成源区和漏区。在去除保护帽之后的工艺的实施例中,可以沉积介电层以填充间隔物之间的空间。沉积的介电层使得SiCO间隔物的侧壁的未被保护帽覆盖的暴露的底部部分被覆盖(步骤120)。在一实施例中,可以使用可流动的亚大气压化学气相沉积或原子层沉积的氧化物来沉积所沉积的介电层。图2G是在沉积介电层240以填充侧壁间隔物之间的空间之后的叠层200的示意性剖视图。SiCO间隔物的顶部暴露于蚀刻或化学机械抛光(CMP)工艺(步骤124)。图2H是在SiCO间隔物的顶部经过CMP工艺之后的叠层200的示意性剖视图。自对准触点可以电连接到特征的顶部。
该实施例利用通过暴露于各种基于等离子体的化学物质来改变单前体活化自由基化学(SPARC)膜的性质的能力,例如使得这样的膜对于蚀刻相互作用和稀释的氢氟酸(dHF)湿润清洁不太稳健,同时降低介电k值。
在该实施例中,保护帽保护侧壁间隔物的顶部部分不受转变工艺的影响,这降低了侧壁间隔物的未受保护的下部部分的k值。结果,侧壁间隔物的顶部保持其较高的碳含量和耐蚀刻性。在该实施例中,侧壁的底部部分可以暴露于降低k值并且使得侧壁的底部部分不太耐蚀刻的转变工艺,使得侧壁的底部部分比侧壁的受保护部分较易受湿HF或等离子体蚀刻的影响。因为侧壁的底部部分抗蚀刻性较差,所以沉积介电层以保护侧壁的底部部分并进一步形成器件。
由加利福尼亚州弗里蒙特的Lam Research Corp.制造的激励室可用于沉积SiCO侧壁。
优选地,SiCO侧壁间隔物最初具有介于4.7和4.9之间(包括4.7和4.9)的介电常数k。转变工艺将介电常数k降低至少0.4。结果,经转变的SiCO侧壁具有小于4.5的介电常数。结果,介电常数降低了约8%至10%。因此寄生电容降低了约8%至10%。
在其他实施方案中,可以保护侧壁间隔物的其他部分而不是顶部免受转变工艺的影响,同时侧壁间隔物的其他部分而不是底部可以暴露于转变工艺。这些实施方案可用于解决多个集成设计。其他实施方案可以使用其他转变工艺步骤来降低侧壁间隔物的k值。例如,代替使用基于O2的灰化,可以使用基于形成气体的灰化。形成气体是气体氢气和氮气。这种气体可以由N2和H2或NH3或NH4OH或这些气体的组合形成。在其他实施方案中,可以使用其他转变工艺来改变侧壁间隔物的其他物理特性,而不是降低k值。
寄生电容是高级finfet器件中的关键性能限制器。接触电容的栅极是7nm节点及以上的总有效电容的主要驱动因素。在7nm节点,寄生电容占有效电容的约40%。因此,寄生电容的显著降低将导致有效电容的显著降低。
虽然已经根据几个优选实施方案描述了本发明,但是存在落在本发明的范围内的改变、修改、置换和各种替代等同方案。还应当注意,存在实现本发明的方法和装置的许多替代方式。因此,以下所附权利要求旨在被解释为包括落在本发明的真实精神和范围内的所有这样的改变、修改、置换和各种替代等同方案。

Claims (20)

1.一种形成具有间隔物的半导体器件的方法,其包括:
在特征的侧面上形成SiCO间隔物;
在所述SiCO间隔物的第一部分上形成保护性覆盖物,其中所述SiCO间隔物的所述侧壁的第二部分未被所述保护性覆盖物覆盖;以及
对所述SiCO间隔物的未被所述保护性覆盖物覆盖的所述第二部分提供转变工艺,从而改变所述SiCO间隔物的未被所述保护性覆盖物覆盖的所述第二部分的物理性质,其中所述保护性覆盖物保护所述SiCO间隔物的所述第一部分不受所述转变工艺的影响。
2.根据权利要求1所述的方法,其中所述SiCO间隔物的所述第一部分是所述SiCO间隔物的顶部和所述SiCO间隔物的所述侧壁的顶部部分,并且其中所述SiCO间隔物的所述第二部分是所述SiCO间隔物的所述侧壁的底部部分,并且其中所述保护性覆盖物形成保护帽。
3.根据权利要求2所述的方法,其中所述转变工艺将所述SiCO间隔物的所述第二部分的k值降低至少0.4。
4.根据权利要求3所述的方法,其还包括在提供所述转变工艺之后去除所述保护帽。
5.根据权利要求4所述的方法,其还包括覆盖所述SiCO间隔物的经过所述转变工艺的所述第二部分。
6.根据权利要求5所述的方法,其中所述覆盖所述SiCO间隔物的经过所述转变工艺的所述第二部分包括用介电层填充所述SiCO间隔物之间的空间。
7.根据权利要求6所述的方法,其还包括将所述SiCO间隔物的所述顶部暴露于蚀刻或化学机械抛光(CMP)。
8.根据权利要求7所述的方法,其中所述转变工艺包括将所述SiCO间隔物的未被所述保护帽覆盖的所述第二部分暴露于由包含氧气的气体或由形成气体形成的等离子体。
9.根据权利要求8所述的方法,其中所述形成所述保护帽包括:
在所述SiCO间隔物之间提供牺牲层,其中所述SiCO间隔物的所述顶部暴露;
在所述SiCO间隔物的所述顶部上方和所述牺牲层的顶部上方沉积保护层;以及
去除在所述牺牲层的所述顶部上方的所述保护层的部分和所述牺牲层。
10.根据权利要求2所述的方法,其中所述形成所述保护帽包括:
在所述SiCO间隔物之间提供牺牲层,其中所述SiCO间隔物的所述顶部暴露;
在所述SiCO间隔物的所述顶部上方和所述牺牲层的顶部上方沉积保护层;以及
去除在所述牺牲层的所述顶部上方的所述保护层的部分和所述牺牲层。
11.根据权利要求1所述的方法,其中所述转变工艺将所述SiCO间隔物的所述第二部分的k值降低至少0.4。
12.根据权利要求1所述的方法,其还包括在提供所述转变工艺之后去除所述保护性覆盖物。
13.根据权利要求1所述的方法,其还包括覆盖所述SiCO间隔物的经过所述转变工艺的所述第二部分。
14.根据权利要求1所述的方法,其还包括使所述SiCO间隔物的所述第一部分暴露于蚀刻或化学机械抛光(CMP)。
15.根据权利要求1所述的方法,其中所述转变工艺包括使所述SiCO间隔物的未被所述保护性覆盖物覆盖的所述第二部分暴露于由包含氧气的气体或由形成气体形成的等离子体。
16.一种用于形成具有间隔物的半导体器件的方法,其包括:
在特征的侧面上形成SiCO间隔物;
在所述SiCO间隔物的顶部和侧壁的一部分上形成保护帽,其中所述SiCO间隔物的所述侧壁的底部部分未被所述保护帽覆盖;以及
对所述SiCO间隔物的所述侧壁的未被所述保护帽覆盖的所述部分提供转变工艺,这降低了所述SiCO间隔物的所述侧壁的未被所述保护帽覆盖的所述部分的k值,其中所述保护帽保护所述SiCO间隔物的所述侧壁的被覆盖部分不受所述转变工艺的影响。
17.根据权利要求15所述的方法,其中所述转变工艺将所述SiCO间隔物的所述侧壁的未被所述保护帽覆盖的所述部分的所述k值降低至少0.4。
18.根据权利要求16所述的方法,其还包括在提供所述转变工艺之后去除所述保护帽。
19.根据权利要求17所述的方法,其还包括通过用介电层填充所述SiCO间隔物之间的空间来覆盖所述SiCO间隔物的所述侧壁的经过所述转变工艺的所述部分。
20.根据权利要求18所述的方法,其中所述转变工艺包括将所述SiCO间隔物的未被所述保护帽覆盖的所述第二部分暴露于由包含氧气的气体或由形成气体形成的等离子体。
CN201780077978.XA 2016-12-16 2017-12-05 用于提供低k间隔物的方法 Active CN110073467B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202310671148.7A CN116884845A (zh) 2016-12-16 2017-12-05 用于提供低k间隔物的方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/381,594 US10224414B2 (en) 2016-12-16 2016-12-16 Method for providing a low-k spacer
US15/381,594 2016-12-16
PCT/US2017/064761 WO2018111627A1 (en) 2016-12-16 2017-12-05 METHOD FOR PROVIDING A LOW-k SPACER

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN202310671148.7A Division CN116884845A (zh) 2016-12-16 2017-12-05 用于提供低k间隔物的方法

Publications (2)

Publication Number Publication Date
CN110073467A true CN110073467A (zh) 2019-07-30
CN110073467B CN110073467B (zh) 2023-06-27

Family

ID=62559510

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202310671148.7A Pending CN116884845A (zh) 2016-12-16 2017-12-05 用于提供低k间隔物的方法
CN201780077978.XA Active CN110073467B (zh) 2016-12-16 2017-12-05 用于提供低k间隔物的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN202310671148.7A Pending CN116884845A (zh) 2016-12-16 2017-12-05 用于提供低k间隔物的方法

Country Status (5)

Country Link
US (1) US10224414B2 (zh)
KR (2) KR102450406B1 (zh)
CN (2) CN116884845A (zh)
TW (1) TWI745505B (zh)
WO (1) WO2018111627A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11424118B2 (en) 2020-01-23 2022-08-23 Micron Technology, Inc. Electronic devices comprising silicon carbide materials

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040222182A1 (en) * 2003-05-09 2004-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US20080090370A1 (en) * 2006-10-12 2008-04-17 Dyer Thomas W Post-silicide spacer removal
US20100267238A1 (en) * 2009-04-20 2010-10-21 Advanced Micro Devices, Inc. Methods for fabricating finfet semiconductor devices using planarized spacers
US20120171833A1 (en) * 2010-12-31 2012-07-05 Institute of Microelectronic Chinese Academy of Sc Method for manufacturing semiconductor device
US20130252430A1 (en) * 2012-03-22 2013-09-26 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US20150145073A1 (en) * 2013-11-26 2015-05-28 Taiwan Semiconductor Manufacturing Company Limited Low-k dielectric sidewall spacer treatment
CN105895527A (zh) * 2015-02-13 2016-08-24 台湾积体电路制造股份有限公司 具有数据存储结构的半导体结构及其制造方法

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10234735A1 (de) * 2002-07-30 2004-02-12 Infineon Technologies Ag Verfahren zum vertikalen Strukturieren von Substraten in der Halbleiterprozesstechnik mittels inkonformer Abscheidung
US7115974B2 (en) * 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
JP2007096002A (ja) 2005-09-29 2007-04-12 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
US7667263B2 (en) * 2007-02-07 2010-02-23 International Business Machines Corporation Semiconductor structure including doped silicon carbon liner layer and method for fabrication thereof
KR20100127668A (ko) * 2009-05-26 2010-12-06 주식회사 하이닉스반도체 수직형 트랜지스터의 매몰 비트 라인 형성 방법
CN102110651B (zh) 2009-12-29 2014-01-29 中国科学院微电子研究所 一种半导体器件及其制造方法
US8039386B1 (en) * 2010-03-26 2011-10-18 Freescale Semiconductor, Inc. Method for forming a through silicon via (TSV)
DE102010028462B4 (de) 2010-04-30 2015-06-11 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verspannungsgedächtnistechnik mit geringerer Randzonenkapazität auf der Grundlage von Siliziumnitrid in MOS-Halbleiterbauelementen
US9287385B2 (en) 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
US8574978B1 (en) * 2012-04-11 2013-11-05 United Microelectronics Corp. Method for forming semiconductor device
US10832904B2 (en) * 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10297442B2 (en) * 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
KR102050779B1 (ko) * 2013-06-13 2019-12-02 삼성전자 주식회사 반도체 소자 및 이의 제조 방법
JP6218459B2 (ja) * 2013-07-02 2017-10-25 キヤノン株式会社 除振装置、除振方法、リソグラフィ装置及びデバイスの製造方法
US9378975B2 (en) 2014-02-10 2016-06-28 Tokyo Electron Limited Etching method to form spacers having multiple film layers
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
US9202751B2 (en) * 2014-04-07 2015-12-01 Globalfoundries Inc. Transistor contacts self-aligned in two dimensions
US9269792B2 (en) * 2014-06-09 2016-02-23 International Business Machines Corporation Method and structure for robust finFET replacement metal gate integration
US9478660B2 (en) * 2015-01-12 2016-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Protection layer on fin of fin field effect transistor (FinFET) device structure
US9437694B1 (en) 2015-04-01 2016-09-06 Stmicroelectronics (Crolles 2) Sas Transistor with a low-k sidewall spacer and method of making same
KR102251061B1 (ko) * 2015-05-04 2021-05-14 삼성전자주식회사 변형된 채널층을 갖는 반도체 소자 및 그 제조 방법
US9385318B1 (en) * 2015-07-28 2016-07-05 Lam Research Corporation Method to integrate a halide-containing ALD film on sensitive materials
US9711533B2 (en) * 2015-10-16 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET devices having different source/drain proximities for input/output devices and non-input/output devices and the method of fabrication thereof
US9786765B2 (en) * 2016-02-16 2017-10-10 Globalfoundries Inc. FINFET having notched fins and method of forming same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040222182A1 (en) * 2003-05-09 2004-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method for multiple spacer width control
US20080090370A1 (en) * 2006-10-12 2008-04-17 Dyer Thomas W Post-silicide spacer removal
US20100267238A1 (en) * 2009-04-20 2010-10-21 Advanced Micro Devices, Inc. Methods for fabricating finfet semiconductor devices using planarized spacers
US20120171833A1 (en) * 2010-12-31 2012-07-05 Institute of Microelectronic Chinese Academy of Sc Method for manufacturing semiconductor device
US20130252430A1 (en) * 2012-03-22 2013-09-26 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US20150145073A1 (en) * 2013-11-26 2015-05-28 Taiwan Semiconductor Manufacturing Company Limited Low-k dielectric sidewall spacer treatment
CN105895527A (zh) * 2015-02-13 2016-08-24 台湾积体电路制造股份有限公司 具有数据存储结构的半导体结构及其制造方法

Also Published As

Publication number Publication date
KR102450406B9 (ko) 2023-12-15
TWI745505B (zh) 2021-11-11
KR20190088065A (ko) 2019-07-25
US20180175161A1 (en) 2018-06-21
KR102450406B1 (ko) 2022-09-30
CN116884845A (zh) 2023-10-13
KR102610396B1 (ko) 2023-12-06
KR20220137174A (ko) 2022-10-11
US10224414B2 (en) 2019-03-05
CN110073467B (zh) 2023-06-27
TW201837972A (zh) 2018-10-16
WO2018111627A1 (en) 2018-06-21

Similar Documents

Publication Publication Date Title
KR102625367B1 (ko) 반도체 구조를 에칭하기 위한 질소-함유 화합물
US11569257B2 (en) Multi-layer stacks for 3D NAND extendability
US8906760B2 (en) Aspect ratio dependent deposition to improve gate spacer profile, fin-loss and hardmask-loss for FinFET scheme
US11508583B2 (en) Selective high-k formation in gate-last process
KR101106882B1 (ko) 높은―k 물질 게이트 구조물을 고온 에칭하는 방법
CN102347227A (zh) 一种金属栅极的形成方法
Yang et al. Dry Etching of Al 2 O 3 Thin Films in O 2/BCl 3/Ar Inductively Coupled Plasma
CN108122744A (zh) 半导体器件及其制造方法
CN110073467A (zh) 用于提供低k间隔物的方法
CN108807377A (zh) 半导体器件及其形成方法
JP7400058B2 (ja) 半導体装置の製造方法およびエッチング方法
US9368448B2 (en) Metal-containing films as dielectric capping barrier for advanced interconnects
US9947547B2 (en) Environmentally green process and composition for cobalt wet etch
US20070128553A1 (en) Method for forming feature definitions
CN100372069C (zh) 利用双镶嵌工艺来形成t型多晶硅栅极的方法
US8354341B2 (en) Method for forming an interconnect structure
CN103137458B (zh) 高介电层金属栅的制造方法
US11699741B2 (en) Metal-containing liner process
US20220199418A1 (en) Selective Etching with Fluorine, Oxygen and Noble Gas Containing Plasmas
CN103117213A (zh) 金属栅极形成方法
CN109841512B (zh) 半导体装置的制造方法
CN105655252A (zh) 半导体结构形成方法
US10008456B1 (en) Laminated spacers for field-effect transistors
CN117954383A (zh) 半导体结构及其制备方法
US20090197421A1 (en) Chemistry and compositions for manufacturing integrated circuits

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant
CB03 Change of inventor or designer information

Inventor after: Stratford Allen Wilder Rochello

Inventor after: Brian Tessier

Inventor before: Stratford A. Wilder

Inventor before: Brian Tessier

CB03 Change of inventor or designer information