KR102303153B1 - 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들 - Google Patents

접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들 Download PDF

Info

Publication number
KR102303153B1
KR102303153B1 KR1020140097663A KR20140097663A KR102303153B1 KR 102303153 B1 KR102303153 B1 KR 102303153B1 KR 1020140097663 A KR1020140097663 A KR 1020140097663A KR 20140097663 A KR20140097663 A KR 20140097663A KR 102303153 B1 KR102303153 B1 KR 102303153B1
Authority
KR
South Korea
Prior art keywords
species
electrical contact
processing chamber
layer
contact interface
Prior art date
Application number
KR1020140097663A
Other languages
English (en)
Other versions
KR20150014901A (ko
Inventor
마이클 다넥
주웬 가오
아론 알. 펠리스
프란치스코 제이. 후아레즈
치우킨 스티븐 라이
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20150014901A publication Critical patent/KR20150014901A/ko
Priority to KR1020210121005A priority Critical patent/KR102440621B1/ko
Application granted granted Critical
Publication of KR102303153B1 publication Critical patent/KR102303153B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23GCLEANING OR DE-GREASING OF METALLIC MATERIAL BY CHEMICAL METHODS OTHER THAN ELECTROLYSIS
    • C23G5/00Cleaning or de-greasing metallic material by other methods; Apparatus for cleaning or de-greasing metallic material with organic solvents
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

부분적으로 가공된 반도체 기판 (substrate) 의 전기적 접촉 경계면 (electrical contact interface) 로부터 오염물들을 제거하기 위한 세정 방법이 개시된다. 상기 방법은, 상기 프로세싱 챔버 내로 할로겐-함유 종들 (halogen-containing species) 을 도입하는 단계, 상기 전기적 접촉 경계면 및/또는 그 위의 상기 오염물들의 상단부 (atop) 에 흡착-제한된 층 (adsorption-limited layer) 을 형성하는 단계로서, 상기 흡착-제한된 층은 상기 할로겐-함유 종들로부터의 할로겐을 포함하는, 상기 흡착-제한된 층을 형성하는 단계를 포함할 수도 있다. 상기 방법은, 이후 상기 프로세싱 챔버로부터 비-흡착된 할로겐-함유 종들을 제거하는 단계 및 상기 전기적 접촉 경계면 상에 존재하는 상기 오염물들 및 상기 흡착-제한된 층의 할로겐 간의 반응을 활성화하는 단계를 더 포함할 수도 있다. 그렇다면 상기 반응은 상기 전기적 접촉 경계면으로부터의 상기 오염물들의 적어도 부분의 제거를 야기할 수도 있다. 일부 실시예들에서, 표면 상에 흡착되고 반응된 할로겐은 불소일 수도 있다. 또한, 이러한 전기적 접촉 경계면 세정 기술들을 구현하기 위한 제어기들을 갖는 장치들이 본 명세서에 개시된다.

Description

접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들{METHODS AND APPARATUSES FOR ATOMIC LAYER CLEANING OF CONTACTS AND VIAS}
집적 회로 (IC) 제조에 있어서, 반도체 기판 상에 가공되는 전자 디바이스들 (예를 들어, 트랜지스터들) 은 통상적으로 요구되는 최종 동작하는 IC 디바이스를 형성하기 위해 다른 디바이스들 간의 전기적 연결 (electrical connection) 들의 형성을 필요로 한다. 이러한 접속 프로세스는 일반적으로 "금속화" (metallization) 로 지칭되고, 그것은 다수의 포토리쏘그래픽 패터닝 (photolithographic patterning), 에칭, 및 증착 기술들 및 단계들을 사용하여 수행될 수도 있다. 통상적으로, 금속화는 반도체 기판의 표면에 형성된 다양한 상호접속부 구조물 (interconnect structure) 들을 1 이상의 도전성 물질들로 충진하는 것을 야기하고, 충진 후 이것은 그렇다면 기판 상의 다양한 회로 디바이스들을 상호 접속시키는 전기적 경로 (electrical pathway) 로 기능할 수도 있다. 예를 들어, 텅스텐, 구리, 및/또는 여타의 도전성 물질들이 수평적 상호접속부들, 인접한 금속 층들 간의 비아들 (vias), 제1 금속 층들 간의 접촉부들 (contacts) 및 실리콘 기판 상의 디바이스들을 충진하는데 사용될 수도 있고, IC 설계에 사용되는 고 애스팩트 비 피쳐들 (high aspect ratio features) 을 충진하는데 일반적으로 사용될 수도 있다.
부분적으로 가공된 반도체 기판 (substrate) 의 전기적 접촉 경계면 (electrical contact interface) 으로부터 오염물들을 제거하기 위한 세정 방법이 본 명세서에 개시된다. 전기적 접촉 경계면은 후속하는 가공 동작에서 증착되는 금속과의 전기적 연결을 만드는데 사용될 수도 있다. 상기 방법은, 상기 프로세싱 챔버 내로 할로겐-함유 종들 (halogen-containing species) 을 도입하는 단계, 및 상기 전기적 접촉 경계면 및/또는 그 위의 상기 오염물들의 상단부 (atop) 에 흡착-제한된 층 (adsorption-limited layer) 을 형성하는 단계로서, 상기 흡착-제한된 층은 상기 할로겐-함유 종들로부터의 할로겐을 포함하는, 상기 흡착-제한된 층을 형성하는 단계를 포함할 수도 있다. 상기 방법은, 이후 상기 프로세싱 챔버로부터 비-흡착된 할로겐-함유 종들을 제거하는 단계 및 상기 전기적 접촉 경계면 상에 존재하는 상기 오염물들 및 상기 흡착-제한된 층의 할로겐 간의 반응을 활성화하는 단계를 더 포함할 수도 있다. 그렇다면 상기 반응은 상기 전기적 접촉 경계면으로부터의 상기 오염물들의 적어도 부분의 제거를 야기할 수도 있다. 일부 실시예들에서, 상기 방법은 상기 반응에 의해 생성된 할로겐-함유 종들을 제거하는 단계를 더 포함할 수도 있다. 일부 실시예들에서, 표면 상에 흡착되고 반응된 할로겐은 불소일 수도 있다. 일부 실시예들에서, 상기 오염물들은, 상기 부분적으로 제조된 반도체 기판의 반응성 이온 에칭을 수반하는 이전의 가공 동작에서 증착된 1 이상의 플루오로카본 (fluorocarbon) 폴리머 종들을 포함할 수도 있다. 일부 실시예에서, 상기 반응을 활성화하는 단계는, 상기 흡착-제한된 층의 불소-함유 종들 및/또는 불소와 부가적인 화학 종들을 반응시키는 단계를 포함할 수도 있다. 일부 실시예들에서, 상기 반응을 활성화하는 단계는, 열 에너지를 상기 흡착-제한된 층에 공급하는 단계를 포함할 수도 있다. 일부 실시예들에서, 상기 반응을 활성화하는 단계는, 플라즈마의 자유-라디칼 종들 및/또는 이온에 상기 흡착-제한된 층을 노출시키는 단계를 포함할 수도 있다.
부분적으로 가공된 반도체 기판의 전기적 접촉 경계면과 전기적 접촉하는 금속화 층을 형성하기 위한 장치가 본 명세서에 개시된다. 상기 장치는 프로세싱 챔버, 상기 프로세싱 챔버 내의 기판 홀더, 상기 프로세싱 챔버 내로 화학적 종들을 도입하기 위한 1 이상의 가스 유입부들, 및 상기 프로세싱 챔버로부터 화학적 종들을 배기시키기 위한 배기 시스템을 포함할 수도 있다. 상기 장치는, 제어기가 수행하도록 구성되는 기계-판독가능 인스트럭션들 (instructions) 을 가지는 제어기를 포함할 수도 있다. 실시예에 의존하여, 상기 인스트럭션들은 상기 프로세싱 챔버 내로 불소-함유 종들을 도입하도록 상기 1 이상의 가스 유입부들을 동작시키기 위한 인스트럭션들을 포함할 수도 있다. 상기 제어기의 인스트럭션들은 상기 전기적 접촉 경계면 및/또는 그 위의 오염물들 상단부에 흡착-제한된 층이 형성되도록 상기 프로세싱 챔버 내의 조건들을 유지하기 위한 인스트럭션들로서, 상기 흡착-제한된 층은 상기 불소-함유 종들로부터의 불소를 포함하는, 상기 프로세싱 챔버 내의 조건들을 유지하기 위한 인스트럭션을 더 포함할 수도 있다. 상기 제어기의 인스트럭션들은 상기 프로세싱 챔버로부터 비-흡착된 불소-함유 종들을 제거하도록 상기 배기 시스템을 동작시키기 위한 인스트럭션들, 상기 전기적 접촉 경계면 상에 존재하는 오염물들 및 상기 흡착-제한된 층의 불소 간의 반응을 활성화하기 위한 인스트럭션들로서, 상기 반응은 상기 전기적 접촉 경계면으로부터의 상기 오염물들의 적어도 부분의 제거를 야기하는, 상기 반응을 활성화하기 위한 인스트럭션들, 상기 프로세싱 챔버 내로 금속-함유 종들을 도입시키도록 상기 1 이상의 가스 유입부들을 동작시키기 위한 인스트럭션들, 및 상기 금속-함유 종들을 포함하는 금속이 상기 금속화 층을 형성하는 상기 전기적 접촉 경계면 상에 증착되도록 상기 프로세싱 챔버 내의 조건들을 유지하기 위한 인스트럭션들을 포함하는 인스트럭션들을 더 포함할 수도 있다. 일부 실시예들에서, 상기 장치는 상기 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 플라즈마 생성기를 더 포함할 수도 있다. 이러한 특정 실시예들에서, 상기 반응을 활성화하기 위한 상기 제어기의 인스트럭션들은 상기 플라즈마 챔버 내에 플라즈마를 생성하고 이에 따라 상기 흡착-제한된 층을 상기 플라즈마에 존재하는 자유-라디칼 종들 및 이온에 노출시키도록 상기 플라즈마 생성기를 동작시키기 위한 인스트럭션들을 더 포함할 수도 있다.
도 1a 및 도 1b는 개략적 단면도 및 반응성 이온 에칭 프로세스로부터 오염된 FinFET SiGE 기판의 구성요소 분석을 나타낸다.
도 2의 (a) 내지 (e) 는 실리콘 기판의 피처 내의 전기적 접촉 경계면을 세정하기 위한 스퍼터 에칭 기술을 채용하는 텅스텐 금속화 프로세스를 개략적으로 도시한다.
도 3의 (a) 내지 (e) 는 반도체 기판의 전기적 접촉 경계면으로부터 오염물들을 제거하기 위한 원자 층 세정 프로세스를 개략적으로 도시한다.
도 4는 반도체 기판의 전기적 접촉 경계면으로부터 오염물들을 제거하기 위한 원자 층 세정 프로세스의 흐름도이다.
도 5는 반도체 디바이스의 전기적 상호접속 구조물을 제조하기 위한 다중-증착 스테이션 프로세싱 시스템에 대한 블록 다이어그램을 보여준다.
도 6은 웨이퍼 지지부 및 샤워헤드를 포함하는 도 5의 다중-증착 스테이션 프로세싱 시스템으로부터의 증착 스테이션을 보여준다.
도 7은 열적으로 활성화된 불소 및 옥사이드의 흡착이 반도체 기판의 표면을 형성하는 것을 도시한 다수의 x-레이 광전자 스펙트라 (x-ray photoelectron spectra) 를 보여준다.
이하의 설명에서, 본 발명의 완전한 이해를 제공하기 위해 다수의 구체 사항들이 나타내어 진다. 본 발명은 이러한 구체 사항들의 일부 또는 전부 없이 실시될 수도 있다. 다른 예시들에서, 공지의 프로세스 동작들은 본 발명을 불필요하게 불명확하게 하지 않도록 구체적으로 설명되지 않았다. 본 발명이 특정한 구체적인 실시예들과 연결되어 설명되나, 이러한 특정한 구체적인 실시예들은 본 명세서에서 개시된 본 발명의 컨셉들의 범위를 제한하도록 의도되지 않는다.
개시된 바와 같이, IC 가공에서, "금속화" 프로세스는 부분적으로 가공된 반도체 기판 (substrate) 상에서 존재하는 다양한 IC 디바이스들 사이의 전기적 연결 (electrical connection) 들을 확립하도록 수행될 수도 있다. 그렇게 하기 위해, 금속화 동안 증착된 도전성 재료 -"접촉 금속" 은 도전성 재료가 증착되는 재료 -"접촉 기판"-과 성공적인 오믹 (ohmic) 접촉을 확립해야 한다. 이것은 예컨대 실리콘, 실리콘 게르마늄, 또는 게르마늄 기판 또는 이는 티타늄 실리사이드 (저마나이드), 실리사이드니켈 실리사이드 (저마나이드), 코발트 실리사이드 (저마나이드), 텅스텐 등의 층과 같은 전기적 연결을 용이하게 하는 기판 최상부에 형성된 층일 수도 있다. 일부의 실시예들에서, 금속에 반도체의 직접 접촉은 매우 저항성일 수 있으므로, 이러한 중간 층들은 접촉 금속과 아래의 기판 사이의 전기적 접촉을 용이하게 할 수도 있다. 다른 가능성 있는 기판들은 실시예에 따라 GaAs 및 InGaAs를 포함할 수도 있다.
그러나, 접촉 금속의 증착 전에, 접촉 기판의 최상 층이 물질의 복잡한 혼합을 포함하는 오염물들의 층을 축적할 수도 있다는 것이 종종 발견되었으며, 이것의 존재는 증착된 접촉 금속과 그것 사이의 성공적인 오믹 연결을 방지하거나 방해할 수도 있다. 특히, 반응성 이온 에칭 (RIE; reactive ion etch) 기술은 기판의 접촉 영역을 개구하는데 사용될 수도 있으며, 종종 기판 옥사이드, F, N, H 및 O를 함유하는 탄소 폴리머들; 금속 옥사이드들 (metal oxides); 금속 플루오라이드들 (metal fluorides); 금속 실리케이트들 (metal silicates) 등을 포함할 수도 있는 접촉 영역 상의 에칭 잔류물들의 퇴적(증착)을 야기한다. 부가적으로, 대기로의 후-에칭 노출은 전기적 접촉 계면을 또한 오염시키는 천연 SiO2의 형성과 우수한 오믹 접촉의 방해를 야기한다. 본 명세서에서 사용된 바와 같이 전기적 접촉 경계면과 연관된 용어 "오염물들" 이 전기적 접촉 경계면 상에 축적될 수도 있는 임의의 전술한 종들을 지칭한다는 점을 주목한다. 따라서, 본 명세서에 개시되는 원자층 세정 (ALC; atomic layer cleaning) 기술들에 의해 제거되는 오염물들이 되는 잠재적 부류의 화합물들은 제한되지 않으나, 산소, 탄소, 질소, 실리케이트뿐만 아니라 불소 함유 화합물들, 불화계 탄소 화합물들 등 그리고 실리콘 옥사이드들을 포함할 수도 있다.
이러한 오염이 발생한 SiGe FinFET 접촉 기판의 하나의 개략적인 예시가 도 1에서 단면적으로 (cross-sectionally) 표시된다. 도 1a는 FinFET 접촉 기판의 개략적인 단면도이다. 도 1b는 박스 처리된 번호 1에 인접한 화살에 의해 도시되는 바와 같이 단면의 밑으로 이동하는 접촉 기판의 조성의 EELS 측정치들을 도시한다. 도면에 도시된 바와 같이, SiGe 기판의 상단은 후 (post) 탄소 잔류물 및 접촉 개방 SiGe 옥사이드의 2nm 막으로 코팅된다. 도면은 또한 일부의 불소 특징 (signature) 이 오염의 이 2nm 경계면 층에 기록된다는 것을 도시한다.
접촉 기판이 금속화 프로세스들 동안 증착된 접촉 금속과 적절한 오믹 접촉을 할 수 있도록 접촉 기판의 표면으로부터 오염물들의 제거를 다루기 위해, 스퍼터 에칭이 일부의 경우들에서 습식 화학적 세정과 연관되어 채용될 수도 있다. 예를 들어, 도 2의 (a) 내지 (e) 는 기판 (200) 의 표면에 형성되는 피처를 충진하기 위한 프로세스의 일부로 스퍼터 에칭 세정 기술을 채용하는 텅스텐 금속화 프로세스의 일 예시를 개략적으로 도시한다. 피처 (210) 는 트렌치 또는 비아 구조 또는 전기적 연결이 요구되는 임의의 다른 구조일 수 있다. 이 경우, 실리사이드 층 (220) 이 피처 (210) 의 기저에 존재하며, 도 2의 (a) 에 도시되는 바와 같이, 이는 도 2의 (b) 에 도시된 바와 같이 Ar계 스퍼터 에칭에 의해 제거되는 오염물들 (230) 로 덮여진다. 오염물들 (230) 이 세정되거나 제거되면, 실리사이드 층 (220) 의 표면은 노출되고 또한 증착된 금속의 층과 우수한 전기적 접촉을 하는 것이 준비된다. 그러나, 이것이 수행되기 전에, 도 2의 (c) 에 도시된 바와 같이 (예컨대, PVD ("physical vapor deposition") 또는 CVD ("chemical vapor deposition") 을 통해 증착된) 원소 티타늄 및/또는 티타늄 나이트라이드 (nitride) 의 "통합된 라이너" (integrated liner) 가 배리어 또는 보호층 (240) 으로 역할하기 위해 (바닥 및 측벽들을 포함하는) 피처 (210) 의 표면 위에 형성된다. 이 배리어 층 (240) 을 제 위치에 두고, 텅스텐 (W) 이 마지막으로 도 2의 (d) 에 도시된 바와 같이 피처를 충진하기 위해 증착되며, 오염물들이 세정된 노출된 표면을 갖는 중간물로 역할을 하는 실리사이드 층 (220) 과 함께 증착된 텅스텐 (250) 은 아래의 접촉 기판 (200) 과 우수한 오믹 접촉을 달성할 수도 있다. 일부의 실시예들에서, 배리어 층이 불소-프리 (free) 텅스텐 또는 Ti 및 불소-프리 텅스텐을 더 포함할 수도 있다는 점을 주목해야 한다. 일부의 실시예들에서, 텅스텐 금속화층을 증착하는 것은 텅스텐-함유 종들 및 분자 수소를 프로세싱 챔버로 도입하는 것 및 세정된 전기적 접촉 경계면 상단에 텅스텐을 증착하도록 분자 수소로 텅스텐-함유 종들을 반응시키는 것을 포함할 수도 있다. 텅스텐 증착 기술들과 연관되는 이하의 설명들을 참조한다. 이 특정한 금속화 실시예는 도 2의 (e) 에 도시된 바와 같이, (벌크 Cu를 증착하도록 전기화학적 도금 ("ECP", electrochemical plating) 이 계속되는 Cu 시드층의 PVD를 통해) 구리층 (260) 의 증착이 이후 계속되는 화학 기계적 연마 (CMP, chemical mechanical polish) 로 증착된 텅스텐을 평탄화하는 것으로 완료된다.
도 2의 (a) 내지 (e) 에 개략적으로 예시되는 금속화 프로세스는 표시된 반도체 피처의 전기적 접촉 표면으로부터 오염물들을 제거하기 위한 스퍼터 에칭 기술을 활용한다. 도 2의 (b) 에 개략적으로 도시되는 - 이 스퍼터 에칭은 전반적으로, (도 2의 (b) 에서 지시된 바와 같이) 예를 들어 아르곤과 같은 불활성 가스의 이온들로의 기판의 충격 (bombardment) 를 수반한다. 이러한 스퍼터 에칭 프로세스들이 전반적으로 특정한 동작 윈도우 내에서 작동할 수도 있으나, 실제로는 기판 손상을 최소화하고 접촉의 애스펙트 비 (AR, aspect ratio) 및 임계 치수 (CD, critical dimension) 을 지키기 위한 동작 윈도우는 종종 꽤나 - 특히 20nm 이하의 IC 디바이스 스케일들의 CD와 같이 - 좁다. 이러한 좁은 동작 윈도우 때문에, 예컨대 도 2의 (a) 내지 (e) 에 아웃라인된 (outlined) 금속화 절차로 통합될 수 있고, 반도체 기판에 손상을 주지 않고 실질적으로 접촉 영역의 CD 또는 AR을 변경시키지 않는 접촉 기판로부터의 에칭 오염물들 (예컨대, 반응성 이온 에칭 (RIE) 로부터의 잔류물들) 을 효과적으로 그리고 선택적으로 제거하는데 사용될 수 있는 향상된 접촉 세정 프로세스에 대한 요구가 있다.
따라서, 아르곤 스퍼터 에칭 기술 보다 더 선택적이고, 일부의 실시예들에서 세정되는 전기적 접촉 피처에 데미지를 덜 주는, 부분적으로 가공된 반도체 기판의 전기적 접촉 경계면에서 오염물들을 제거하기 위한 세정 기술들, 동작들, 방법들 등이 본 명세서에 개시된다. 일부의 실시예들에서, 세정 기술들은 원자층 증착 (ALD, atomic layer deposition) 에 유사한 프로세스를 채용할 수도 있으며, 여기서 표면 반응 본 경우에서는 세정 반응은 원자층 정확도로 제어될 수도 있으며, 이에 따라 세정되는 전기적 접촉 피처의 측벽들 상에서 실질적으로 발생하지 않으면서, 전기적 접촉 표면에서 발생하도록 세정 반응을 야기시킨다. 따라서, 본 명세서에 도시되는 세정 방법들은 "원자층 세정" (ALC, "Atomic Layer Clean") 으로 본 명세서에서 지칭되는 세정을 위한 ALD-유사 프로세스를 채용할 수도 있다. 이러한 프로세스는 도 3의 (a) 내지 (e) 에 도시되는 개략적인 단면도들 그리고 도 4에서 흐름도에 의해 예시된다.
도 3의 (a) 에 도시된 바와 같이, 일부의 실시예들에서, 이러한 프로세스는, (도면에서 아래 방향 화살표들에 의해 지시되는 바와 같이) 세정 종들 (cleaning species) (320) 이 기판 (310) 의 표면으로 전달되도록, 세정에 사용되는 화학 종들 (320) - 즉, 세정 반응물 종들 - 을 프로세싱 챔버에 도입함으로써 개시될 수도 있다. 일부의 실시예들에서, 세정 종들은 할로겐-함유 종들일 수도 있으며, 특정한 이러한 실시예들에서, XeF2, BrF3, IF5, ClF3, 등과 같은 불소-함유 종들일 수도 있다. 일부의 실시예들에서, 세정 종들은 플루오로카본 (fluorocarbon) 예를 들어 C4F8 과 같은 할로겐- 그리고 탄소-함유 종들일 수도 있다.
그것의 프로세싱 챔버로의 도입 후, 세정 종들 (320) (또는 세정 종들의 일부) 은 그들이 표면 (310) 상단에 (및/또는 그 위의 오염물들 상단에) 흡착-제한된 층을 형성하도록, 기판 (310) 의 전기적 접촉 경계면의 표면 상에 흡착한다. 종종, 이 흡착-제한된 층은 단일 흡착된 분자의 균일한 두께를 대략 갖는 모노층 (monolayer) 으로 설명될 수도 있다. 조건들이 그런 경우, 모노층 형성은 종종 흡착하기 위한 노출된 표면을 요구하는 화학 흡착 프로세스의 결과이다 - 즉 표면 상에서 소정의 지점에 단일 흡착된 원자 또는 분자는 그 지점에서의 더 나아간 흡착을 방지한다.
세정될 표면 (310) 상의 세정 종들 (320) 의 모노층의 이러한 흡착은 도 3의 (b) 에서 개략적으로 예시된다. 도 3의 (b) 는 제거될 기판의 표면 상에 존재하는 오염물들 (330) 에 직접 바인딩하는 세정 종들 (320) 을 개략적으로 예시한다. 일부의 실시예들에서, 세정 종들은 실리콘 기판 자체의 표면에 (또는 그것의 니켈 실리사이드 층에) 추가적으로 가능하게 붙는다. 본 명세서에서 개시된 세정 방법들은, 세정 종들 (320) 과 오염물들 (330) 사이의 실제 화학적 결합이 있는 체계들 (regimes) 에 한정되지 않으나, 일부의 경우들에서 공유 결합과 같은 화학적 결합이 발생할 수도 있다. 다른 경우들에서, 다른 형태의 끌어당기는 상호작용들이 기판 표면 상에서의 세정 종들의 흡착 시 세정 종들과 오염물들 사이에 존재할 수도 있다.
세정 종들 및 오염물들은 도 3의 (a) 내지 (d) 에서 "공들"로 그리고 서로에 대한 그들의 결합은 "막대기들"로 보여지나, 이러한 종들 각각이 필수적으로 원자 종들이라는 것으로 암시되도록 해석되어서는 안 된다. 그보다는, 세정 종들 및 오염물 종들은 본 실시예에 따라 복수-원자 분자 종들일 수도 있다. 단순한 "공-그리고-막대" 도면들은 표면 흡착 프로세스의 기본적 예시를 제공하는데 사용된다. 또한, 간명함을 위해 도 3의 (a), (b) 가 도입되고 다음으로 흡착되는 동일한 종들을 도시하고 있다는 사실에도 불구하고, 흡착된 종들은 프로세싱 챔버로 도입되는 완전한 세정 종들을 구성하지 않을 수도 있다는 점이 주목되어야 한다. 다시 말하면, 일부의 실시예들에서, 세정 종들의 오직 일부가 흡착될 수도 있다.
예를 들어, 프로세싱 챔버로 도입되는 세정 종들은 XeF2이면, 흡착된 세정 종들은 접촉 표면 상에 존재하는 오염물들의 화학적 종들에 공유 결합된 원자 불소 (F) 라디칼들 또는 불소 원자들일 수도 있다. 따라서, 본 예시에서, 실제적으로 F는 세정을 하는 종들이라고 얘기될 수도 있다. 그럼에도 불구하고, 명료함과 간결성을 위해, 문구들이 본 명세서에서 설명되는 세정을 효과적이게 하고 표면 상에 시제로 흡착되는 종들 또는 종들의 소스인 화합물들을 지칭한다는 것에 대한 이해를 가지고 보면, 프로세싱 챔버로 도입된 세정 종들 (본 예시에서는 XeF2) 그리고 실질적으로 흡착된 종들 (본 예시에서는 F) 모두 "세정 종들"로 본 명세서에서 지칭될 수도 있다.
도 3의 (b) 가 (도 3의 (b) 에서의 기판 표면이 수평하게 도시되므로,) 전기적 접촉 피처의 하단에서 실리콘, 실리콘 게르마늄, 게르마늄 또는 니켈 실리사이드 표면 상에서의 세정 종들의 흡착을 개략적으로 예시하지만, 일반적으로 세정 종들 또한 접촉 피처의 측벽들 상에서 흡착될 수도 있다. 그러나, 이하의 이유들 때문에, 이는 측벽의 세정 및 잠재적 에칭을 필수적으로 야기하지 않는다.
흡착이 발생한 후, 도 3의 (c) 에 도시된 바와 같이, 잉여 (excess) 비-흡착된 (가능하게는 탈착된 것들을 포함하는) 세정 종들은 세정 프로세스의 다음 단계들에서 화학 흡착된 종들의 반응 및 활성화 (예컨대, 잠재적 동시 가스-상태 반응) 를 방해하는 것을 방지하도록 프로세싱 챔버에서 제거된다. 일부의 실시예들에서, 잉여의 비-흡착된 세정 종들의 제거는 프로세싱 챔버에서 비-흡착된 세정 종들을 펌핑함으로써 달성될 수도 있다. 일부의 실시예들에서, 제거는 불활성 가스로 프로세싱 챔버를 퍼징함으로써 (purging) 달성될 수도 있다. 일부의 실시예들에서, 비-흡착된 종들을 제거하기 위해 기저 압력까지 반응 챔버를 펌핑 다운한 후 퍼징하는 조합 시퀀스 또는 그 반대가 채용될 수도 있다.
세정 종들의 흡착-제한된 층이 전기적 접촉의 표면 그리고 프로세싱 챔버로부터 제거된 비-흡착된 전구체 상에서 형성되면, 반응이 전기적 접촉 경계면 상에 존재하는 오염물들 그리고 흡착된 세정 종들 사이에서 활성화된다. 도 3의 (d) 에 도시된 바와 같이, 이는 표면의 일부를 에칭시켜버리는 것과 아래의 전기적 접촉 경계면 상단에 존재하는 임의의 오염물들의 적어도 부분의 제거를 야기한다. 일부의 실시예들에서, 위에 지시된 바와 같이 오염물들은 부분적으로-가공된 반도체 기판의 반응성 이온 에칭을 수반하는 이전의 가공 동작에서 증착된 하나 이상의 계면층 종들을 포함할 수도 있다. 도 3의 (c) 는 표면 반응을 활성화시키기 위해 낮은 에너지 분자/원자 빔 (340) 에 노출되는 흡착-제한된 층을 개략적으로 도시하나, 다른 반응-활성화 기술들이 활용될 수도 있다. 예를 들어, 일부의 실시예들에서, 반응은 흡착-제한된 층의 세정 종들 (예컨대, 불소 및/또는 불소=함유 종들) 로 추가적인 화학 종들을 반응시킴으로써 활성화될 수도 있다. 다른 실시예들에서, 반응은 열적으로 활성화될 수도 있다 - 즉, 열적 에너지가 표면 반응을 야기시키도록 흡착-제한된 층에 제공된다.
마지막으로, 일부의 실시예들에서, 표면-매개된 (surface-mediated) 세정 반응이 진행된 후, 도 3의 (d) 에서 세정 반응에 의해 생성된 부산물(들) 은 도 3의 (e) 에 도시된 바와 같이 프로세싱 챔버로부터 제거될 수도 있다. 실시예들에서, 흡착 제한된 층은 세정 종들로 불소를 함유하며, 부산물들은 불소-함유 종들을 포함할 수도 있다.
도 3의 (a) 내지 (e) 는 원자층 세정 (ALC) 의 일 싸이클을 구성한다. 그러나, 싸이클 각각이 세정 종들의 단일의 흡착-제한된 층의 반응만을 구성하므로, 통상적으로 오직 오염물들의 단일의 모노층이 도 3의 (e) 에 도시된 바와 같이 제거되어, 주목할 만한 두께의 오염물의 층으로 코팅된 전기적 접촉 경계면을 실질적으로 세정하기 위해 복수의 싸이클들이 수행된다. 따라서, 이 세정 싸이클들의 반복은 도 3의 (e) 와 도 3의 (a) 를 결합하게 하는 화살표에 의해 도면들에서 개략적으로 지시된다. 유사하게, 도 4는 특히 불소-함유 세정 종들을 채용하는 문맥에서, 싸이클릭 (cyclic) ALC 프로세스의 흐름도를 제공한다. 도면에 도시된 바와 같이 싸이클릭 ALC 프로세스는 프로세싱 챔버로 불소-함유 종들을 도입하는 동작 (411), 그에 이은 전기적 접촉 경계면 및/또는 그 위의 오염물들 상단에 흡착-제한된 층을 형성하는 동작 (412) 으로 개시한다. 본 실시예에서, 흡착-제한된 층은 불소-함유 종들로부터의 불소를 포함한다. 흡착 동작 후, 프로세스는 동작 (413) 에서의 프로세싱 챔버로부터 비-흡착된 불소-함유 종들을 제거하는 것에 의해 지속된다. 그리하여, 동작 (414) 에서, 전기적 접촉 경계면 상에 존재하는 오염물들 및 흡착-제한된 층의 불소 사이의 반응의 활성화가 달성된다. 흐름도에 나타난 바와 같이, 반응은 전기적 접촉 경계면으로부터 오염물들의 적어도 일부의 제거를 야기한다. 마지막으로, 일부의 실시예들에서는 프로세싱 챔버로부터 반응에 의해 생성된 불소-함유 종들을 제거하는 추가적인 동작 (415) 이 있을 수도 있다. 도 4는 다음으로 ALC 싸이클이 특정한 ALC 프로세스 시퀀스를 달성하기 위해 임의적인 수, N 번을 반복될 수도 있다는 것을 지시한다. 따라서, 동작 (414) 에서의 반응 활성화 후, 프로세스는 N 번의 ALC 싸이클들이 수행되었는지를 결정하는 로직 블록 (416) 으로 진행한다. N 번의 싸이클들 미만이 수행되면, 프로세스는 동작들 (411 내지 414 그리고 선택적으로 415) 를 다시 반복하게 진행한다. N 번의 싸이클들이 수행되면, 프로세스가 종료된다.
도 3의 (d) 및 도 4의 동작 414를 다시 참조하면, 분자 빔이 표면 반응을 활성화하는데 사용되는 경우, 빔은 이온들, 자유-라디칼들 (free-radicals), 그리고 중성 비-라디칼 종들을 포함할 수도 있으며, 이러한 종들에 대한 흡착-제한된 층의 노출은 표면 반응을 활성화하도록 제공할 수도 있다. 이온화된 종들이 반응을 활성화시키는데 사용되면, 이들은 플라즈마-생성될 수도 있다. 플라즈마는 He, Ar, Ne, Kr 및/또는 Xe와 같은 불활성 가스를 포함하거나/이와 같은 불활성 가스로부터 형성될 수도 있다. 플라즈마의 이온들은 일 속도로 기판의 평면에 실직적으로 수직하게 이동하도록 (그리고 기판에 접촉하도록) 인가된 전자기장에 의해 지향될 수도 있다. 물론, 이러한 인가된 전자기장은 또한 특정하게 원하는 운동 에너지 레벨로 이온들을 가속시킬 수도 있다. 비록 이것이 이온-기반 스퍼터 에칭 기술을 닮을 수도 있으나, 여기서의 차이는, 충격하는 이온들에 의해 이동되는 에너지가, 순수한 운동 에너지만으로부터 표면에서 오염물들을 충돌로 제거하는데 충분하기보다는, 흡착된 세정 종들의 표면 반응을 활성화하는데 충분할 필요가 있다는 점이다. 따라서, 예를 들어, 본 명세서에서 도시되는 ALC 기술들에서, 이온 종들은 흡착-제한된 층을 100 eVs 미만의 상대적 운동 에너지 또는 30 eVs 미만의 상대적 운동 에너지 또는 10 eVs 보다 낮은 상대적 운동 에너지로 충격을 가함으로써 (도 3d에서와 같은) 흡착-제한된 층을 접촉할 수도 있다. 물론, 자유-라디칼 종들이 반응을 활성화하는데 사용되면, 이들은 플라즈마 생성되고 또한 통상적으로 낮은 운동 에너지를 갖는다. 임의의 이벤트에서, 표면 반응을 활성화시키는데 사용되는 충돌하는 분자 빔에 영향을 주는 것은 이온-기반 스퍼터 에칭보다 아래의 기판에 더 낮은 에너지를 전하는 것이기 때문에, 원자층 세정 (ALC) 기술은 아래의 표면에 훨씬 낮은 손상을 줄 잠재력을 가진다.
방금 설명한 바와 같이, 일부의 실시예들에서, 접착 표면 상의 흡착된 세정 종들 및 오염물들 사이의 표면 반응은 플라즈마-활성화될 수도 있다. 따라서, 도 3의 (d) 에 개략적으로 예시되는 저 에너지 분자 빔은 프로세싱 챔버에서 플라즈마를 생성함으로써 또는 프로세싱 챔버로 멀리서-생성된 플라즈마를 도입함으로써 또는 앞의 조합으로써 등으로 만들어질 수 있다. 통상적으로 이온들이 표면 반응을 활성화하는데 사용되면, 이온-기반 플라즈마는 프로세싱 챔버 내에 생성된다. 자유-라디칼 종들이 표면 반응을 활성화하는데 사용되면, 그들은 프로세싱 챔버 멀리로부터 생성된 자유-라디칼-기반 플라즈마로부터 - 즉 멀리 떨어진 자유-라디칼-기반 플라즈마 소스로부터 - 프로세싱 챔버로 도입될 수도 있다. 일부의 실시예들에서, 플라즈마는 자유-라디칼 종들 및 이온 종들 모두의 실제적 수를 함유할 수도 있다. 일부의 실시예들에서, 플라즈마는, 비록 - 전술된 바와 같이 - 표준 Ar-플라즈마-기반 표면 에칭 기술에서 사용되는 것보다 실질적으로 낮은 평균 운동 에너지를 갖는 이온들을 통상적으로 갖더라도, 아르곤-계 이온화된 플라즈마일 수도 있다.
일부의 실시예들에서, 수소 및 아르곤을 포함하는 플라즈마가 사용될 수도 있으며, 수소 자유-라디칼들 및 이온들뿐만 아니라 아르곤 이온들은 표면 반응을 활성화시키기 위해 흡착된 세정 종들을 접촉할 수도 있다. 일부의 실시예들에서, 접촉 표면 상의 흡착된 세정 종들 및 오염물들 사이의 표면 반응을 활성화시키는데 사용되는 플라즈마는 수소 플라즈마를 실질적으로 구성할 수도 있으며, 또는 그것은 아르곤 플라즈마를 구성할 수도 있으며, 또는 플라즈마는 아르곤 플라즈마 및 수소 플라즈마의 조합을 구성할 수도 있다. 일부의 실시예들에서, 플라즈마-활성화는 먼저 수소 플라즈마를 실질적으로 구성하는 플라즈마에 흡착된 세정 종들을 노출시키는 것 그리고 두번째로 아르곤 플라즈마를 실질적으로 구성하는 플라즈마에 흡착된 세정 종들을 노출시키는 것을 포함할 수도 있다. 또는, 다른 실시예들에서, 이 순서가 뒤바뀔 수도 있다: 아르곤 플라즈마 노출이 먼저, 그리고 수소 플라즈마 노출이 두번째로 뒤따른다. 실시예에 따라, 하나 이상의 이들 플라즈마들이 프로세싱 챔버 내에서 직접 생산될 수도 있으며, 또는 하나 이상의 이들 플라즈마들이 프로세싱 챔버로부터 멀리서 생성되고 다음으로 프로세싱 챔버로 도입된 멀리 떨어진 플라즈마들일 수도 있다. 일부 실시예들에서, 1 이상의 플라즈마들이 챔버 내 플라즈마 생성기 및 원격 플라즈마 생성기의 조합에 의해 제공될 수도 있다. 어떠한 경우에도, (일부의 실시예들에서는 인가된 전자기 장에 의해 지향되는) 하나 이상의 플라즈마들에 존재하는 이온들 및/또는 자유-라디칼 종들 어느 쪽으로의 세정 종들의 흡착-제한된 층의 노출은 도 3에 또한 도시된 바와 같은 전기적 접촉의 표면으로부터 오염물들을 제거하는 반응을 야기한다.
세정 종들의 흡착된 층은 컨포멀 층 - 즉 실질적으로 균일한 두께를 가지고 그것이 흡착되는 피처들의 형상에 실질적으로 따르는, 컨포멀 층으로 종종 설명될 수도 있다 그리고, 흡착된 층의 두께가 실질적으로 균일하므로, 기판의 임의의 일부가 반응 프로세스 동안 노출되는 반응성 세정 종들의 양은 실질적으로 제어가능하고, 선택적이며 예측가능하다.
흡착된 세정 종들의 플라즈마 활성화는 세정 프로세스의 특정함을 제어하기 위한 추가적인 메커니즘으로 동작할 수도 있다. 특히, 인가된 전자기장 (EM, electromagnetic field) 는 전기적 접촉 피처 (도 3에 도시된 바와 같은) 의 기저/하단을 향해 플라즈마의 하나 이상의 종들의 플럭스를 지향시키는데 사용될 수도 있다. 이렇게 함으로 인해, 충돌하는 종들이 기판의 평면과 실질적으로 수직한 방향으로 이동하도록 지향된다면, 충돌하는 종들은 그 기저/하단보다 피처의 측벽들과 보다 덜 빈번하게 충돌할 것이며, 이에 따라, 세정 반응은 측벽들보다 피처의 기저에서 바람직하게 활성화될 것이며, 이로 인해 피처의 CD 및 AR을 보존하도록 작동한다.
인가된 EM 장이 충돌하는 종들의 지속적인 플럭스를 생성할 수도 있으며 또는 이것이 EM 장의 파동들을 생성할 수도 있다는 점을 주목한다. 일부의 실시예들에서, 이온들은, 충격받은 재료의 스퍼터 임계점 아래의 운동 에너지로 흡착된 세정 종들에 충격을 주도록 EM 장에 의해 지향될 수도 있다. 스퍼터 임계점 아래의 에너지 체계에서, 충격을 주는 파티클들은 흡착된 세정 종들의 반응을 활성화하는데 충분한 에너지, 그러나 이온 도는 이온-지원된 에칭 프로세스를 통해 재료를 제거하는데에는 불충분한 에너지를 갖는다. 따라서, 오염물 제거가 이온 또는 이온-지원된 에칭 프로세스보다 활성화된 화학 세정 프로세스를 통해 발생한다는 점을 확실하게 하기 위해, 이온들은, 그들이 100 eVs (electron volts) 미만의 운동 에너지, 또는 특정한 이러한 실시예들에서, 30 eVs 미만의 운동 에너지 또는 더 특정하게는 10 eVs 미만의 운동 에너지를 갖는 흡착된 세정 종들에 충격을 주도록 인가된 EM 장에 의해 지향될 수도 있다. 실시예에 따라, 플라즈마를 포함하는 이온 종들은 수소 이온들, 및/또는 예를 들어 아르곤과 같은 불활성 가스의 이온들일 수도 있다.
전술된 바와 같이, 세정 종들은 C4F8과 같은 불소-함유 종들일 수도 있다. 일부의 실시예들에서, 불소-함유 종들은 XeF2, BrF3, IF5, 또는 ClF3 과 같은 탄소-프리 불소-함유 종들일 수도 있다. 다수의 또는 대다수, 전부가 아니라면, 통상적인 반응성 이온 에칭 (RIE, 위를 참조) 프로세스들에서 증착된 접촉 오염물들이 - 원자 형태, 이온화된 또는 산 형태로 또는 보다 복잡한 화학적 종들의 기능기로 역할을 하는 경우와 같은 - 불소에 의해 제거가능하다는 점이 주목되어야 한다. 특히, 불소는 반응성 이온 에칭 동안 종종 증착된 경계면 종들을 제거하는데 사용될 수도 있으며, 전반적으로 염산은 이러한 종들을 제거하는데 작용하지 않는다. 그러나, 불소가, 전기적 접촉의 표면 상의 원치 않는 잔류물을 자체적으로 나타낼 수도 있는 금속 플루오라이드들을 생성하도록 금속 기판의 표면과 반응할 수도 있다는 점은 도한 사실이다.
다행히도, 금속 플루오라이드들은 수소 이온 및/또는 자유-라디칼 종들에 의해 전기적 접촉의 표면으로부터 감소되고 종종 제거될 수도 있다:
Figure 112014072524515-pat00001

여기서 (↑)는 금속 플루오라이드들이 반응되어버리면, (퍼징, 기저 압력으로 펌핑 다운 등에 의해) 종들이 프로세싱 챔버로부터 제거될 수도 있다는 것을 지시한다. 일부의 실시예들에서, 이 수소 종들은 바로 설명된 ALD-유사 세정 반응 (ALC) 를 활성화하는데 사용되는 플라즈마에 이미 존재한다. 추가적으로, 예컨대 접촉 표면을 개구시키는데 사용되는 RIE 에서 형성된 접촉 표면 상에 존재할 수도 있는) 금속 옥사이드들과 실리케이트들은 또한 수소 이온들 및/또는 자유 라디칼들에 의해 제거될 수도 있다:
Figure 112014072524515-pat00002
따라서, 일부의 구현들에서, 접촉 세정 프로세스의 ALC 상태가 종료되면 - 예를 들어, 흡착된 세정 종들이 접촉 표면의 특정한 일부 부분들 (또는 전부) 위에 더 이상 존재하지 않도록 흡착된 세정 종들이 플라즈마-활성화를 통해 반응되어 버리면 - 접촉 표면 상에 아직 존재하는 임의의 남아있는 오염물들의 일부 또는 전부가 플라즈마의 수소 이온 및/또는 자유-라디칼들과 반응할 수도 있도록 플라즈마는 유지될 수도 있다. 이 플라즈마 접촉 단계는 다음으로, 세정 종들이 반응되어 버리면 접촉 표면 상에 아직 존재할 수도 있는 금속 실리케이트들 및/또는 다른 오염물들뿐만 아니라 임의의 남아있는 금속 플루오라이드들 및 금속 옥사이드들의 적어도 일부의 제거를 야기할 수도 있다. 일부의 실시예들에서, 선-ALC 플라즈마 접촉 단계 (pre-ALC plasma contact step) 가 또한 채용될 수도 있다. 따라서, 예를 들어, 전술된 세정 종들의 흡착-제한된 층의 형성 전에, 전기적 접촉 경계면의 표면은 선-처리 플라즈마로 처리될 수도 있다. 이 선-처리 플라즈마는 ALC 후의 금속-플루오라이드 잔류물들을 제거하도록 인가되는 플라즈마와는 상이하거나 같을 수도 있다.
실리콘 기판에 있어서, 전술된 흡착, 후속하는 플라즈마-활성화 및 불소-함유 세정 종들의 반응은 일부의 실시예들에서, 실리콘-불소 화합물들 (예컨대 SiFx) 의 형성에 의해 기판 표면으로부터 실리콘의 손실을 이끌 수도 있다. 그러나, ALD-유사 프로세스의 흡착-제한된 본질에 의해, (예컨대 불소-함유 세정-종들의 흡착-제한된 층은 실질적으로 균일한 두께를 갖는다는 점), 에칭되버리는 기판의 양은, 기판 재료 제거가 예컨대 10-30 옹스트롬으로 최소화되거나 다른 재료 제거 기술들에 비해 감소되도록 제어될 수도 있다. 따라서, 전술된 세정 방법론들은, 이후의 접촉 금속화 전에 임계 치수 CD) 를 실질적으로 변경하거나 손상시키지 않고 전기적 접촉 표면에서 원치 않는 오염물들을 제어가능하게 제거할 수 있다.
마지막으로, 전술한 세정 기술들, 프로세스들, 방법론들 등 모두는 부분적으로 제조된 반도체 기판의 전기적 접촉 경계면 상단에 금속화 층을 형성하는 방법의 일부로 채용될 수도 있다는 점이 주목된다. 따라서, 예를 들어, 금속화 층을 형성하는 방법은 원자층 세정 (ALC) 방법 또는 전술된 프로세스들에 따라 전기적 접촉 경계면들에서 오염물들을 제거하고, 다음으로 전기적 접촉 경계면 상단에 금속화 층을 증착하는 것을 포함할 수도 있다. 다른 방식으로 설명하면, 도 3 및 도 4에 예시되는 세정 절차들은 도 2의 금속화 절차에 도시되는 이온 에칭 세정 방법을 대체하는데 사용될 수도 있으며, ALC를 채용하는 향상된 금속화 절차를 야기한다. 일부의 방법론들에서, 접촉 세정 및 접촉 금속화가 동일한 프로세싱 챔버 (이러한 프로세스 챔버들은 이하에서 설명된다) 에서 수행될 수도 있으며, 나아가, 일부의 실시예들에서, 금속화 층의 증착이 진공을 깨지 않으며 (필수적으로 바로 수행될 필요는 없으나) 금속화 층의 증착이 세정 동작을 따를 수도 있다. 이는 임의의 끼어드는 (intervening) 기간 동안 (대기 노출로부터의 산화에 의해 야기되는 것들과 같은) 전기적 접촉 표면 상의 오염물들의 형성을 감소시키거나 제거하는데 도움을 줄 수도 있다. 나아가, 전술된 세정 기술들, 프로세스들, 방법들 등이 제한되지는 않으나, Si, Ge, SiGe, InP, GaAs, Ge, InAs, InSb, 및 그래핀 기판들을 포함하는 다양한 상이한 반도체 기판들 타입들 상에 형성되는 전기적 접촉 표면들로부터, 뿐만 아니라 이러한 표면들 상에 형성되는 금속 실리사이드 층들 (예컨대 전술된 실리콘 기판 상의 니켈 실리사이드 층) 로부터 오염물들을 제거하도록 채용될 수도 있다는 점이 주목되어야 한다.
장치
본 명세서에 제공된 세정 방법들은 다양한 벤더들로부터 구입 가능한 다양한 형태들의 증착 장치들에서 수행될 수 있다. 이러한 장치들은 금속화 층들을 상단에 (atop) 형성하기 위해, 그리고 부분적으로 가공된 반도체 기판들의 전기적 접촉 경계면들과의 전기적 접촉에 이용될 수 있다. 이러한 특정한 장치들에서, 본 명세서에 개시된 세정 프로세스들 및 방법들은, 기판 금속화 층들을 증착하기 위해 이용되는 챔버들과 동일한 프로세싱 챔버들에서 수행될 수 있다. 더욱이, 이러한 특정한 실시예들에서, 금속화 층 증착 이후에 진공 브레이크의 개입 없이 잔류물 제거 프로세스가 이어질 수 있으며, 이는 위에서 언급된 바와 같이, 접촉 세정과 접촉 금속화 사이의 끼어드는 기간 동안 추가 오염물들의 형성을 방지하는데 도움을 줄 수 있다. 아래에서 상세하게 이러한 부품들이 설명되는 바와 같이, 이러한 장치는 화학 종들을 프로세싱 챔버 내로 도입하기 위한 하나 이상의 가스 유입부들, 프로세싱 챔버로부터 화학 종들을 배기하기 위한 배기 시스템, 및 내부에의 기판 홀더를 가지는 프로세싱 챔버를 포함할 수 있다. 특정한 실시예들에서, 장치는 프로세싱 챔버 내에 플라즈마를 생성하기 위한 플라즈마 생성기를 더 포함할 수 있다.
또한, 이러한 장치들 내에, 전기적 접촉 계면을 세정하고 후속하여 금속화 층을 증착하기 위한 기계-판독가능한 인스트럭션들을 수행하도록 구성되는 제어기가 포함될 수 있다. 전기적 접촉 계면을 세정하기 위한 인스트럭션들은, 위에서 설명한 바와 같은, 원자층 세정 (ALC) 동작 (및 선-ALC 플라즈마 노출 선-처리) 을 실행할 수 있다. 따라서, 일부 실시예들에서, 실행가능하고 기계-판독가능한 인스트럭션들은 세정 종들 (예를 들어, 불소-함유 종들 같은 할로겐-함유 종들) 을 도입하도록 하나 이상의 가스 유입부들을 동작시키기 위한 인스트럭션들, 그리고 세정 종들을 포함하는 흡착-제한된 층이 전기적 접촉 경계면 및/또는 (위에서 상세하게 설명한 바와 같은) 그 위에 존재하는 오염물들을 상부에 형성하도록 프로세싱 챔버 내의 조건들을 유지하기 위한 인스트럭션들을 포함할 수 있다. (위에서 상세하게 설명한 바대로) 실행가능하고 기계-판독가능한 인스트럭션들이 프로세싱 챔버로부터 비-흡착된 세정 종들 (예를 들어, 불소-함유 종들과 같은 할로겐-함유 종들) 을 제거하기 위한 인스트럭션들을 더 포함할 수도 있다. 그리고, 실행가능하고 기계-판독가능한 인스트럭션들은 흡착된 세정 종들과 전기적 접촉 경계면 상에 존재하는 오염물들 사이의 반응을 활성화하기 위한 인스트럭션들을 더 포함할 수 있다. 이러한 특정한 실시예들에서, 반응을 활성화하기 위한 인스트럭션들은, 프로세싱 챔버 내에 플라즈마를 생성하고, 그 결과 흡착 제한된 층을 플라즈마 내에 존재하는 이온 및/또는 자유-라디칼 종들에 노출하도록 플라즈마 생성기를 작동시키는 인스트럭션들을 포함할 수 있다. 임의의 경우에, 활성화된 표면 반응은 위에서 상세하게 설명된 바와 같이 전기적 접촉 경계면으로부터의 오염물들의 적어도 일부 제거를 야기한다. 일부 실시예들에서, 플라즈마 활성화 단계 동안 기판 (Si, SiGe, Ge, NiSi 및 다른 것들) 의 재-산화가 최소화되도록, 프로세싱 챔버가 임의의 O2, H2O 및/또는 다른 산화 종들에 관해 실질적으로 프리인 것을 보장하는 것이 또한 중요하다. 실시예들에 따라, 제어기의 인스트럭션들은 또한 전술한 인스트럭션들이 진공 브레이크의 개입 없이 실행되는 것을 제공할 수 있다.
적절한 장치들의 일부 예들은, 노벨러스 컨셉트-1 ALTUS™, 컨셉트 2 ALTUS™, 컨셉트-2 ALTUS-S™, 컨셉트 3 ALTUS™ 증착 시스템 및 ALTUS Max™, 또는 다른 다양한 상업적으로 구입가능한 CVD 툴들을 포함할 수 있다. 일부 경우들에서, 프로세스가 다수의 증착 스테이션들 상에서 후속하여 수행될 수 있다. 이러한 다중-스테이션 동작의 설명이, 예를 들어, 본 발명의 실시예들의 구현 예에 적합한 동작 및 다중-스테이션 아키텍쳐를 설명하는 목적으로 본 명세서에 참고로서 포함되는, 미국 특허 제6,143,082호에서 발견될 수 있다.
일부 실시예들에서, 상이한 단계들에서 일어나는 세정 및 금속 증착 프로세스들 각각이 단일 챔버 내에서. 다수의 증착 스테이션들을 갖는 단일 챔버 내에서, 또는 일체화된 프로세스 툴의 다수의 챔버들 내에서 수행될 수 있다. 단일 챔버가 활용되는 경우, 이러한 배열은 진공 브레이크의 개입, 또는 그렇지 않으면 다른 챔버 또는 프로세스 툴로의 기판의 이송이 도입되는 것을 방지할 수 있다.
일부 실시예들에서, 세정 동작과 관련된 가스들은 먼저 제1 스테이션에서 기판의 표면으로 도입될 수 있다. 이후에, 제2 스테이션은, 예를 들어, 텅스텐의 증착 같은, 금속화 프로세스의 다른 페이즈를 완료하는데 이용될 수 있다. 이러한 실시예들에서, 높은 분압의 텅스텐-함유 프리커서 및 수소 가스가 기판 표면에 국부적인 분위기를 생성하는 개별적인 가스 공급 시스템을 이용하여 피처의 표면으로 도입된다. 대안적으로, 세정 동작 및 금속 증착 프로세스가 동일한 챔버 또는 동일한 스테이션에서 일어날 수 있고, 장치는 챔버 또는 스테이션으로 도입되는 관련 화학 종들을 변경하도록 구성될 수 있다.
플라즈마 처리 단계가 접촉 세정 동작 이전에 일어나는, 일부 실시예들에서, 플라즈마를 함유하는 불활성 가스, 대응 캐리어 가스, 및 다른 가스들이 제1 스테이션에서 기판의 표면으로 도입된다. 이후에, 기판은 접촉 세정 및 금속화 프로세스를 완료하기 위해 다른 스테이션 또는 챔버로 선택적으로 이송될 수 있다. 예를 들어, 텅스텐-금속화 프로세스를 완료하기 위해, 텅스텐-함유 프리커서, 수소 가스, 및 잠재적인 다른 캐리어 가스들이 가능한, 기판 표면에 국부적인 분위기를 생성하는 개별적인 가스 공급 시스템을 이용하여 피처의 표면으로 도입될 수 있다.
일부 실시예들에서, 플라즈마 생성기를 포함하는 하류 플라즈마 장치가 이용될 수 있다. 샤워헤드 또는 다른 가스 유입부는 처리 챔버의 노출 영역과 플라즈마 생성기를 분리시킬 수 있다. 가스의 소스들은 플라즈마 생성기 내로 가스의 유동을 공급한다. 일부 실시예들에서, 플라즈마 생성기는 전력 소스에 연결된 유도 코일들을 포함한다. 동작 동안, 유도 코일들에 에너지가 가해지면서, 가스 혼합물들은 플라즈마 생성기 내로 도입되고, 플라즈마가 플라즈마 생성기 내에 생성된다. 샤워헤드 어셈블리가 이용되는 실시예에서, 어셈블리가 인가된 접압을 가질 수 있다. 어셈블리는 일부의 또는 실질적으로 모든 이온들의 유동을 종결할 수 있고, 처리 챔버 내로의 라디칼들 같은 중성 종들의 유동을 허용할 수 있다. 일부 실시예들에서, 유도 커플링된 소스를 통해 가스를 유동시킴으로써 플라즈마가 생성된다. 이러한 형태의 원격 플라즈마 소스의 예는 Wilmington, Massachusetts의 MKS Instruments에 의해 제조된 Astron®이다. 반응성 종들이 플라즈마 내에 생성될 수 있고, 기판을 포함하는 챔버로 운반될 수 있다. 일부 실시예들에서, 이온 종들이 원격 플라즈마 소스로부터 도입되지 않을 수 있다.
일부 실시예들에서, 세정 및 금속 증착 프로세스 각각은 단일 챔버 또는 장치 내에 위치되는 2, 3, 4, 또는 그 이상의 스테이션들 중 하나 내에서 수행될 수 있다. 세정 및 금속 증착 프로세스들 각각에 대한 프로세스 가스들이. 기판 표면에 국부적인 분위기를 생성하는 개별적인 가스 공급 시스템을 이용하여 스테이션에서 기판의 표면으로 도입될 수 있다.
도 5는 반도체 디바이스의 상호접속 구조물을 제조하기 위한 프로세싱 시스템 (800) 의 평면 개략도를 도시한다. 도 5에서, 다수의 증착 스테이션들을 갖는 프로세싱 시스템 (800) 을 위한 블록도는 다수의 프로세스들을 수행하도록 구성될 수 있다. 접촉 세정 및 금속화 동작들의 일부는 진공 브레이크 없이 수행될 수 있다. 일부 실시예들에서, 프로세싱 시스템은 일체화된 프로세싱 툴이다.
프로세싱 시스템 (800) 은 이송 모듈 (803) 을 포함한다. 프로세싱될 기판들이 다양한 반응기 모듈들 사이로 이동될 때에 (산소, 수증기, CO2, 및 다른 오염 및/또는 산화 가스들에 기인한) 프로세싱될 기판들의 오염의 위험을 최소화하기 위해, 이송 모듈 (803) 은 청정한, 감소된 압력 환경을 제공한다. 이송 모듈 (803) 상에, 일부 실시예들에 따른, 기판 세정 (예를 들어, ALC 동작들), 플라즈마 처리, 필요하다면, 배리어 층 증착을 수행할 수 있는 다중-스테이션 챔버 (809) 가 장착된다. 챔버 (809a 및 809b) 는 연속하여 이러한 동작들을 수행할 수 있는 다수의 스테이션들 (811a, 813a, 815a, 817a, 811b, 813b, 813c, 및 813d) 을 포함할 수 있다. 예를 들어, 챔버 (809a) 는, 스테이션 (811a) 이 세정을 수행하고, 스테이션 (813a) 이 배리어층 증착을 수행하고, 스테이션 (815a 및 817a) 이 텅스텐 금속화 같은 금속 증착을 수행하도록 구성될 수 있다. 각 증착 스테이션은 가열된 기판 페데스탈 및 샤워헤드, 분산 플레이트 또는 다른 가스 유입부를 포함할 수 있다. 웨이퍼 지지부 (902) 및 샤워헤드 (903) 를 포함하는 증착 스테이션 (900) 의 예가 도 6에 도시된다. 가열기가 페데스탈 부분 (901) 내에 제공될 수 있다.
이송 모듈 (803) 상에 또한, 또는 대안적으로 세정 동작을 수행할 수 있는 하나 이상의 단일 또는 다중-스테이션 모듈들 (807a 또는 807b) 이 장착된다. 또한, 모듈들 (807a 또는 807b) 은 또한 다양한 다른 처리들, 예를 들어, WN 또는 다른 배리어/라이너 층 증착, 또는 금속화, 예를 들어 W-금속화에 이용될 수 있다. 프로세싱 시스템 (800) 은 또한 프로세싱 전후에 기판들이 저장되는 하나 이상의 (본 경우에, 세 개의) 기판 소스 모듈들 (801) 을 포함한다. 분위기 이송 챔버 (819) 내의 분위기 로봇 (823) 은 먼저 소스 모듈들 (801) 로부터 로드 락들 (821) 로 기판들을 제거한다. 이송 모듈 (803) 내의 로봇 암 유닛 같은, 기판 이송 디바이스 (825) 는 기판들을 로드 락들 (821) 로부터 이송 모듈 (803) 상에 장착된 모듈들 (807a 및 807b) 로 그리고 모듈들 (807a 및 807b) 사이로 이동시킨다. 따라서, 프로세싱 시스템 (800) 은, 진공 브레이크의 도입 없이 동일한 툴 내에서, (예를 들어, 금속의 CVD에 의한) 금속화 및 접촉 세정을 포함하는 전술한 프로세스들을 수행할 수 있다.
특정한 실시예들에서, 시스템 제어기 (850) 가 증착 동안 프로세스 조건들을 제어하기 위해 채용된다. 제어기 (850) 는 일반적으로 하나 이상의 메모리 디바이스들 (852, 854) 및 하나 이상의 프로세스들 (856) 을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터 (858), 아날로그 및/또는 디지털 입력/출력 연결부, 스텝퍼 모터 제어기 보드 등을 포함할 수 있다.
제어기 (850) 는 증착 장치 (800) 의 활동들 모두를 제어할 수 있다. 시스템 제어기는, 타이밍, 가스의 혼합, 챔버 압력, 챔버 온도, 웨이퍼 온도, RF 전력 레벨들, 웨이퍼 척 또는 페데스탈 위치 및 특정 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함하는 시스템 제어 소프트웨어를 실행시킨다. 제어기와 관련된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수 있다.
일반적으로, 제어기와 관련된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치의 그래픽 소프트웨어 디스플레이들, 및/또는 프로세스 조건들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 인터페이스들을 포함할 수 있다.
증착 및 다른 프로세스를 프로세스 순서로 제어하기 위한 컴퓨터 프로그램 코드가 임의의 종래의 컴퓨터 판독가능한 프로그래밍 언어, 예를 들어, 어셈블리 언어, C, C++, 파스칼, 포트란 등으로 기록될 수 있다. 컴파일된 오브젝트 코드 또는 스크립트가 프로그램 내에 식별된 업무들을 수행하기 위해 프로세스에 의해 수행된다.
제어기 파라미터들은 예를 들어, 프로세스 가스 조성 및 유속, 온도, 압력 같은 프로세스 조건들, RF 전력 레벨들 및 저 주파수 RF 주파수, 냉각 가스 압력 및 챔버 벽 온도 같은 플라즈마 조건들과 관련된다. 이러한 파라미터들은 레시피의 형태로 사용자에게 제공되고, 사용자 인터페이스를 활용하여 입력될 수 있다.
프로세스를 모니터링하기 위한 신호들이 시스템 제어기의 아날로그 및/또는 디지털 입력 연결부들에 의해 제공될 수 있다. 프로세스를 제어하기 위한 신호는 증착 장치의 아날로그 및 디지털 출력 연결부 상에 출력된다.
시스템 소프트웨어가 수많은 상이한 방법들로 설계되거나 구성될 수 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 오브젝트들이 증착 프로세스들 수행하기에 필요한 챔버 컴포넌트들의 동작을 제어하기 위해 기록될 수 있다. 이러한 목적을 위한 프로그램 또는 프로그램의 섹션들의 예들은 포지셔닝 (positioning) 코드, 프로세스 가스 제어 코드, 프로세스 제어 코드, 히터 제어 코드 및 플라즈마 제어 코드를 포함한다.
기판 포지셔닝 프로그램은 페데스탈 또는 척 상으로 기판을 로딩하는데, 그리고 가스 유입부 및/또는 타겟 같은 챔버의 다른 부분들과 기판 사이의 간격을 제어하는데 이용되는 챔버 컴포넌트들을 제어하기 위한 프로그램 코드를 포함할 수 있다. 프로세스 가스 제어 프로그램은 가스 조성 및 유속을 제어하기 위한, 그리고 챔버 내의 압력을 안정화하기 위해 증착 이전에 챔버 내로 가스를 선택적으로 유동시키기 위한 코드를 포함할 수 있다. 압력 제어 프로그램은, 예를 들어, 챔버의 배기 시스템 내의 쓰로틀 (throttle) 밸브를 조절함으로써 챔버 내의 압력을 제어하기 위한 코드를 포함할 수 있다. 가열기 제어 프로그램은 기판을 가열하는데 이용되는 가열 유닛에 대한 전류를 제어하기 위한 코드를 포함할 수 있다. 대안적으로, 가열기 제어 프로그램은 웨이퍼 척으로의, 헬륨 같은 열 이송 가스의 전달을 제어할 수 있다.
증착 동안 모니터링될 수 있는 챔버의 센서들의 예들은, 질량 유동 제어기들, 마노미터들 (manometers) 같은 압력 센서들, 페데스탈 또는 척 내에 위치되는 써모커플들 (thermocouples) 을 포함한다. 적절하게 프로그래밍된 피드백 및 제어 알고리즘들이 목표된 프로세스 조건들을 유지하기 위해 이러한 센서들로부터의 데이터와 함께 이용될 수 있다. 전술한 것은 단일 또는 다중-챔버 반도체 프로세싱 툴에서의 일부 실시예들의 구현 예를 기술한 것이다.
제어기 (850) 는 본 명세서에 앞서 개시된 동작들을 수행하기 위한 인스트럭션들을 갖도록 구성될 수 있다. 이러한 인스트럭션들은 본 명세서에 개시된 접촉 세정 및 접촉 금속화 동작들을 수행하기 위한 인스트럭션들을 포함할 수 있다.
실시예
도 7은 반도체 기판의 표면 상에 존재하는 옥사이드 종들과, 흡착된 불소와의 표면 반응을 열적으로 활성화하는 실시예를 제공한다. 구체적으로, 도면은, 네 개의 스테이지들의 실험 (도 7에서 'A', 'B', 'C' 및 'D'로 라벨링됨) 에서의 기판 표면의 화학적 조성을 나타내는, 다수의 x-레이 광전자 스펙트라 (x-ray photoelectron spectra; XPS) 를 도시한다. 스테이지 A는 제어 (control) 이며, XPS 스펙트라는 표면 조성이 산소와 실리콘을 포함하는 것을 도시한다. 다음으로, 스테이지 B에서 기판은 가열되고, XPS 스펙트라는 표면 조성에 본질적으로 변화가 없다는 것을 도시한다. 스테이지 C에서 XeF2가 도입되고, XPS 스펙트라는 이제 불소가 기판 표면 상으로 흡착되었다는 것을 도시한다. 마지막으로, 스테이지 D에서, 온도는 제2 시간 램핑되고, 기판 표면으로부터 흡착된 불소에 추가하여, 산화되지 않은 실리콘을 남기고, 산소 또한 이제 제거되었다는 것을 나타내는 XPS 스펙트라로부터 산소 및 불소 피크들이 이제 결손되었다는 것을 확인할 수 있다. 요컨대, 실험은, 불소를 포함하는 표면 반응의 열적 활성화가 산소 제거를 야기한다는 것을 나타낸다. 이러한 실험이, 플라즈마 활성화가 불소의 흡착-제한된 층을 포함하는 표면 반응을 달성하기 위해 필요하지 아니하며, 플라즈마 활성화는, 부분적으로 제조된 반도체 기판의 열적 노출을 최소화하는 것이 바람직한 특정한 상황에서, 여전히 때때로 바람직하다는 것을 나타낸다는 점에 주목하자.
텅스텐 증착
앞서 설명한 바와 같이, 다양한 금속화 과정들은 하나 이상의 텅스텐 증착의 동작들을 채용할 수 있다. 일부 실시예들에서, 텅스텐 증착은 실질적으로 컨포멀 (conformal) 로 설명될 수 있으며, 텅스텐-함유 종들과 수소 분자 같은 환원제 사이의 반응을 통해 달성될 수 있다. 이러한 특정한 실시예들에서, 앞서 논의된 바와 같은 원자층 세정 기술을 이용하여 세정된 이후에, 텅스텐은 (예를 들어, 티타늄 또는 티타늄 나이트라이드의) 배리어층 상부에 증착된다.
텅스텐 증착을 위한 "하부층"으로도 아래에서 지칭되는, 배리어층은 또한 텅스텐 증착을 위한 핵생성 층으로서의 역할을 할 수 있다. 텅스텐 증착은 일반적으로 반도체 제조와 관련된 열역학 체계들로는 기본적인 (bare) 또는 산화된 실리콘 상에서 일어나지 않기 때문에, 앞서 언급한 바와 같이, 핵생성을 위한 일부 종류의 하부층이 일반적으로 필요하다. 일반적으로, 이러한 층은 하나 이상의 형태의 금속 나이트라이드들, 일부 실시예들에서, 티타늄 나이트라이드 (TiN) 및/또는 텅스텐 나이트라이드 (WN) 및/또는 다른 것들을 포함할 수 있다. 층은 약 10Å 내지 500Å (옹스트롬) 의 두께, 또는 보다 구체적인 실시예에서, 25Å 내지 200Å의 두께일 수 있다.
텅스텐 핵생성에 이용되는 하부층 (또는 배리어층) 은 일반적으로, 그 위에의 벌크 텅스텐-함유 물질의 후속적인 증착을 용이하게 하는, 얇은 컨포멀 층이다. 특정한 실시예들에서, 핵생성 층은 펄스 핵생성 층 (PNL) 기술을 이용하여 증착된다. PNL 기술에서, 환원제 (예를 들어, 수소 분자) 및 텅스텐-함유 종들의 펄스들은 연속적으로 반응 챔버 내로 주입되고, 반응 챔버로부터 (퍼지 가스의 주입된 펄스를 통해) 퍼지될 수 있다. 프로세스는 목표된 두께가 달성될 때까지 사이클 방식으로 반복된다. PNL은, 원자층 증착 (ALD) 기술들을 포함하는, 반도체 기판 상에 반응을 위한 반응 물질들을 연속적으로 부가하는 임의의 사이클 프로세스로 구현된다. 텅스텐 핵생성 층들의 증착을 위한 PNL 기술들이 2007년 12월 5일 출원된 미국 특허 출원 제11/951,236호, 2009년 3월 19일 출원된 미국 특허 출원 제12/407,541호, 및 2008년 2월 13일에 출원된 미국 특허 출원 제12/030,645호에 개시되며, 이들 각각은 모든 목적으로 위해 그 전체로서 참고로 본 명세서에 포함된다. PNL 형태의 프로세스들에 관한 추가 논의는 미국 특허 출원 제11/265,531호, 및 미국 특허 제6,635,965호, 제6,844,258호, 제7,005,372호 및 제7,141,494호에서 발견될 수 있으며, 이들 각각은 모든 목적으로 위해 그 전체로서 참고로 본 명세서에 포함된다. 본 명세서에 개시된 방법들은 구체적인 텅스텐 핵생성 층 증착 방법으로 제한되지 아니하며, PNL, ALD, CVD, PVD 및 임의의 다른 방법을 포함하는 임의의 방법에 의해 형성된 텅스텐 핵생성 층들 상에 벌크 텅스텐 필름의 증착을 포함한다. 더욱이, 특정 실시예들에서, 벌크 텅스텐은 핵생성 층의 이용 없이 직접 증착될 수 있다.
증착 스테이션은 텅스텐을 증착하는데 뿐만 아니라, 특정 이전 동작들 (예를 들어, 확산 배리어층, 핵생성 층의 증착) 및/또는 후속 동작들 (예를 들어, 증착된 텅스텐의 에칭, 다른 텅스텐 증착, 최종 피처 충진) 을 수행하는데 이용될 수 있다.
일부 실시예들에서, 텅스텐 증착은, 텅스텐-함유 종들이 프로세싱 챔버 내로 도입되고, 후속하여 텅스텐을 증착하도록 수소에 의해 환원되는, 화학 기상 증착 (CVD) 프로세스를 포함한다. 텅스텐 헥사플루오라이드 (hexafluoride) (WF6) 가 종종 이용되는 하나의 텅스텐-함유 종들이라고 하더라도, 이들로 제한되지는 않으나, 텅스텐 헥사플루오라이드 (WCl6), 유기-금속성 프리커서들, 및 MDNOW (methylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 및 EDNOW (ethylcyclopentadienyl-dicarbonylnitrosyl-tungsten) 같은 불소로부터 프리인 프리커서들을 포함하는, 다른 텅스텐-함유 종들을 이용하여 프로세스가 수행될 수 있다. 추가로, 수소가 일반적으로 벌크 텅스텐 층의 CVD 증착에서 환원제로서 이용되나, 실란을 포함하는 다른 환원제들이 추가로, 또는 수소를 대신하여, 본 발명의 목적 범위로부터 벗어남이 없이 이용될 수 있다. 다른 실시예에서, 텅스텐 헥사카르보닐 (W(CO)6) 이 환원제 없이 또는 환원제와 함께 이용될 수 있다. 앞서 설명된 PNL 프로세스와 달리, CVD 기술에서, WF6 및 H2, 또는 다른 반응 물질들이 동시에 반응 챔버 내로 도입된다. 이는 연속적으로 텅스텐 필름을 기판 표면 상에 형성하는 혼합 반응물질 가스의 연속적인 화학적 반응을 산출한다. 화학 기상 증착 (CVD) 을 이용하여 텅스텐 필름을 증착하는 방법들은 2008년 8월 29일 출원된 미국 특허 출원 제12/202,126호에 개시되며, 이 문헌은 증착 프로세스를 설명하는 목적을 위해 본 명세서에 그 전체로서 참고로 포함된다. 다양한 실시예들에 따르면, 본 명세서에 개시된 방법들은 피처를 부분적으로 충진하는 특정한 방법으로 제한되지 아니하며, 임의의 적적한 증착 기술을 포함할 수 있다. 부분적으로 제조된 반도체 기판들 상으로 텅스텐을 증착하는 방법들에 관한 추가 상세 설명은 미국 특허 제8,124,531호에서 발견될 수 있으며, 이 문헌 모든 목적을 위해 본 명세서에 그 전체로서 참고로 포함된다
포토리소그래피 ( Photolithography )
앞서서 본 명세서에 설명된 장치/프로세스는, 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 태양광 패널들 등의 제조 또는 생산을 위해, 리소그래픽 패터닝 툴들 또는 프로세스들과 함께 이용될 수 있다. 일반적으로, 필수적으로는 아니나, 이러한 툴들/프로세스들이 공통 제조 설비 내에서 함께 이용되거나 수행될 것이다. 필름의 리소그래픽 패터닝은 일반적으로 이하의 동작들의 전부 또는 일부를 포함하며, 다수의 가능한 툴들과 함께 가능한 각각 동작들은: (1) 스핀-온 또는 스페이-온 툴을 이용하여 작업편, 즉 기판 상에 포토레지스트의 적용: (2) UV 경화 또는 고온 플레이트 또는 퍼니스를 이용하여 포토레지스를 경화: (3) 웨이퍼 스텝퍼 같은 툴을 이용하여 가시 광선 또는 UV 광선 또는 x-레이 광선에 포토레지스틀 노출: (4) 선택적으로 레지스틀 제거하고, 그 결과 습식 벤치 같은 툴을 이용하여 레지스트를 패터닝하도록 레지스트를 현상: (5) 건조 또는 플라즈마-강화 에칭 툴을 이용함으로써 하부 필름 또는 작업편으로 레지스트 패턴을 전사: 및 (6) RF 또는 마이크로웨이브 플라즈마 레지스트 스트립퍼 같은 툴을 이용하여 레지스트를 제거를 포함한다.
다른 실시예들
개시된 전술한 프로세스들, 방법들, 시스템, 장치들 및 조성들이 명확성 및 이해를 향상시킬 목적으로 구체적인 실시예의 개념 내에서 상세하게 설명되었다고 하더라도, 본 명세서에의 정신 내에 있는 이러한 프로세스들, 방법들, 시스템들, 장치들 및 조성들을 구현하기 위한 많은 대안적인 방법들이 있음은, 본 기술분야의 숙련자에게 자명할 것이다. 따라서, 본 명세서에 개시된 실시예들은 개시된 본 발명의 개념들을 제한하기보다는 예시적인 것으로 보아야 할 것이며, 궁극적으로 본 명세서의 청구 대상이 되는 임의의 청구 범위들의 목적 범위를 지나치게 제한하기 위해 허용될 수 없는 기준으로 이용되어서는 아니될 것이다.

Claims (35)

  1. 프로세싱 챔버 내의 부분적으로 가공된 반도체 기판 (substrate) 의, 금속과의 전기적 연결을 시키기 위한 전기적 접촉 경계면 (electrical contact interface) 으로부터 오염물들을 제거하기 위한 세정 방법으로서,
    (a) 상기 프로세싱 챔버 내로 할로겐-함유 종들 (halogen-containing species) 을 도입하는 단계;
    (b) 상기 전기적 접촉 경계면 및/또는 그 위의 상기 오염물들의 상단부 (atop) 에 흡착-제한된 층 (adsorption-limited layer) 을 형성하는 단계로서, 상기 흡착-제한된 층은 상기 할로겐-함유 종들로부터의 할로겐을 포함하는, 상기 흡착-제한된 층을 형성하는 단계;
    (c) 상기 (b) 단계 이후, 상기 프로세싱 챔버로부터 비-흡착된 할로겐-함유 종들을 제거하는 단계; 및
    (d) 상기 전기적 접촉 경계면 상에 존재하는 상기 오염물들 및 상기 흡착-제한된 층의 할로겐 간의 반응을 활성화하는 단계로서, 상기 반응은 상기 전기적 접촉 경계면으로부터의 상기 오염물들의 적어도 부분의 제거를 야기하는, 상기 반응을 활성화하는 단계를 포함하고,
    상기 오염물들은 상기 부분적으로 제조된 반도체 기판의 반응성 이온 에칭을 수반하는 이전의 가공 동작에서 증착된 1 이상의 플루오로카본 (fluorocarbon) 폴리머 종들을 포함하는, 오염물들을 제거하기 위한 세정 방법.
  2. 제1 항에 있어서,
    상기 (d) 단계에서의 상기 반응을 활성화하는 단계는, 상기 흡착-제한된 층의 할로겐-함유 종들 및/또는 할로겐과 부가적인 화학 종들을 반응시키는 단계를 포함하는, 오염물들을 제거하기 위한 세정 방법.
  3. 제1 항에 있어서,
    상기 (d) 단계에서의 상기 반응을 활성화하는 단계는, 상기 흡착-제한된 층에 열 에너지를 제공하는 단계를 포함하는, 오염물들을 제거하기 위한 세정 방법.
  4. 제1 항에 있어서,
    상기 (d) 단계에서의 상기 반응을 활성화하는 단계는, 플라즈마의 자유-라디칼 종들 (free-radical species) 및/또는 이온에 상기 흡착-제한된 층을 노출시키는 단계를 포함하는, 오염물들을 제거하기 위한 세정 방법.
  5. 제4 항에 있어서,
    상기 (d) 단계에서의 상기 반응을 활성화하는 단계는, 상기 플라즈마의 이온 종들이 상기 흡착-제한된 층과 접촉하도록 상기 이온 종들에 전자기장을 인가함으로써 상기 흡착-제한된 층 방향으로 상기 플라즈마의 이온 종들을 향하게 하는 단계를 더 포함하는, 오염물들을 제거하기 위한 세정 방법.
  6. 제5 항에 있어서,
    상기 이온 종들에의 상기 전자기장의 인가는, 상기 이온 종들이 상기 반도체 기판의 평면에 실질적으로 수직인 속도를 가지면서 상기 흡착-제한된 층과 접촉하게 하는, 오염물들을 제거하기 위한 세정 방법.
  7. 제5 항에 있어서,
    상기 이온 종들은, 100eV 보다 적은 상대 운동 에너지 (relative kinetic energy) 로 상기 흡착-제한된 층을 충격함으로써 (impacting) 상기 흡착-제한된 층과 접촉하는, 오염물들을 제거하기 위한 세정 방법.
  8. 제7 항에 있어서,
    상기 상대 운동 에너지는 30eV 보다 적은, 오염물들을 제거하기 위한 세정 방법.
  9. 제8 항에 있어서,
    상기 상대 운동 에너지는 10eV 보다 적은, 오염물들을 제거하기 위한 세정 방법.
  10. 제1 항에 있어서,
    (e) 상기 프로세싱 챔버로부터 상기 (d) 단계에서의 상기 반응에 의해 생성되는 할로겐-함유 종들을 제거하는 단계를 더 포함하는, 오염물들을 제거하기 위한 세정 방법.
  11. 제4 항에 있어서,
    상기 플라즈마의 자유-라디칼 종들 및/또는 이온은 원자의 수소 이온들을 포함하는, 오염물들을 제거하기 위한 세정 방법.
  12. 제4 항에 있어서,
    상기 플라즈마의 자유-라디칼 종들 및/또는 이온은 원자의 수소 라디칼들을 포함하는, 오염물들을 제거하기 위한 세정 방법.
  13. 제3 항에 있어서,
    (f) 상기 (d) 단계 이후에, 상기 전기적 접촉 경계면 상에 존재하는 금속 플루오라이드들 (metal fluorides) 및/또는 금속 옥사이드들 (metal oxides) 을 플라즈마에 존재하는 자유-라디칼 종들 및/또는 이온과 반응시키는 단계로서, 상기 반응은 상기 전기적 접촉 경계면으로부터의 상기 금속 플루오라이드들 및/또는 금속 옥사이드들의 적어도 일부의 제거를 야기하는, 상기 반응시키는 단계를 더 포함하는, 오염물들을 제거하기 위한 세정 방법.
  14. 제1 항에 있어서,
    상기 (a) 단계에서 도입되는 상기 할로겐-함유 종들은 XeF2, BrF3, IF5 또는 ClF3인, 오염물들을 제거하기 위한 세정 방법.
  15. 제1 항에 있어서,
    상기 (c) 단계에서의 상기 제거하는 단계는 상기 프로세싱 챔버를 퍼징 (purging) 하는 단계를 포함하는, 오염물들을 제거하기 위한 세정 방법.
  16. 제1 항에 있어서,
    상기 (c) 단계에서의 상기 제거하는 단계는 상기 프로세싱 챔버 바깥으로 비-흡착된 할로겐-함유 종들을 펌핑 (pumping) 하는 단계를 포함하는, 오염물들을 제거하기 위한 세정 방법.
  17. 제4 항에 있어서,
    상기 플라즈마는 불활성 가스를 더 포함하는, 오염물들을 제거하기 위한 세정 방법.
  18. 제17 항에 있어서,
    상기 불활성 가스는 He, Ar, Ne, Kr 및/또는 Xe 인, 오염물들을 제거하기 위한 세정 방법.
  19. 제1 항에 있어서,
    상기 전기적 접촉 경계면은 상기 (a) 단계 이전에 플라즈마로 사전처리되는, 오염물들을 제거하기 위한 세정 방법.
  20. 제1 항에 있어서,
    상기 전기적 접촉 경계면은 Si, Ge, 및/또는 GaAs를 포함하는, 오염물들을 제거하기 위한 세정 방법.
  21. 제20 항에 있어서,
    상기 전기적 접촉 경계면은 SiGe를 포함하는, 오염물들을 제거하기 위한 세정 방법.
  22. 제20 항에 있어서,
    상기 전기적 접촉 경계면은 Si를 포함하고, 아래놓인 (underlying) Si의 적어도 일부 부분 상단부에 금속 실리사이드 (silicide) 층을 더 포함하는, 오염물들을 제거하기 위한 세정 방법.
  23. 제22 항에 있어서,
    상기 금속 실리사이드 층은 Ni 실리사이드, Ti 실리사이드, 및/또는 Co 실리사이드를 포함하는, 오염물들을 제거하기 위한 세정 방법.
  24. 제20 항에 있어서,
    상기 전기적 접촉 경계면은 Ge를 포함하고, 아래놓인 Ge의 적어도 일부 부분 상단부에 금속 저마나이드 (germanide) 층을 더 포함하는, 오염물들을 제거하기 위한 세정 방법.
  25. 제24 항에 있어서,
    상기 금속 저마나이드 층은 Ti 저마나이드, Ni 저마나이드, 및/또는 Co 저마나이드를 포함하는, 오염물들을 제거하기 위한 세정 방법.
  26. 삭제
  27. 프로세싱 챔버 내에서 부분적으로 가공된 반도체 기판의 전기적 접촉 경계면과 전기적 접촉하는 금속화 층 (metallization layer) 을 형성하는 방법으로서,
    제 1 항에 기재된 세정 방법에 따라 상기 전기적 접촉 경계면으로부터 오염물들을 제거하는 단계; 및
    상기 전기적 접촉 경계면과 전기적 접촉하는, 금속을 포함하는 금속화 층을 증착하는 단계를 포함하며,
    상기 오염물의 제거 및 상기 금속화 층의 증착은 끼어드는 (intervening) 진공 브레이크 (vacuum break) 없이 동일한 프로세싱 챔버에서 수행되는, 금속화 층을 형성하는 방법.
  28. 제27 항에 있어서,
    상기 금속은 텅스텐인, 금속화 층을 형성하는 방법.
  29. 제28 항에 있어서,
    상기 금속화 층을 증착하는 단계는,
    (i) 상기 프로세싱 챔버 내로 텅스텐-함유 종들을 도입하는 단계;
    (ii) 상기 프로세싱 챔버 내로 분자의 수소를 도입하는 단계; 및
    (iii) 상기 전기적 접촉 경계면 상단부에 텅스텐을 증착하기 위해 상기 텅스텐-함유 종들을 상기 분자의 수소와 반응시키는 단계를 포함하는, 금속화 층을 형성하는 방법.
  30. 제29 항에 있어서,
    상기 전기적 접촉 경계면으로부터 오염물들을 제거한 후에, 상기 금속화 층을 증착하기 전에, 배리어 층 (barrier layer) 을 증착하는 단계를 더 포함하는, 금속화 층을 형성하는 방법.
  31. 제30 항에 있어서,
    상기 배리어 층은 원소 티타늄 (elemental titanium) 및/또는 티타늄 나이트라이드 (titanium nitride) 를 포함하는, 금속화 층을 형성하는 방법.
  32. 제30 항에 있어서,
    상기 배리어 층은 불소-프리 (fluorine-free) 텅스텐을 더 포함하는, 금속화 층을 형성하는 방법.
  33. 부분적으로 가공된 반도체 기판의 전기적 접촉 경계면과 전기적 접촉하는 금속화 층을 형성하기 위한 장치로서,
    프로세싱 챔버;
    상기 프로세싱 챔버 내의 기판 홀더;
    상기 프로세싱 챔버 내로 화학적 종들을 도입하기 위한 1 이상의 가스 유입부들;
    상기 프로세싱 챔버로부터 화학적 종들을 배기시키기 위한 배기 시스템; 및
    기계-판독가능 인스트럭션들 (instructions) 을 가지는 제어기를 포함하며,
    상기 제어기는,
    (a) 상기 프로세싱 챔버 내로 할로겐-함유 종들을 도입하도록 상기 1 이상의 가스 유입부들을 동작시키기 위한 인스트럭션들;
    (b) 상기 전기적 접촉 경계면 및/또는 그 위의 오염물들 상단부에 흡착-제한된 층이 형성되도록 상기 프로세싱 챔버 내의 조건들을 유지하기 위한 인스트럭션들로서, 상기 흡착-제한된 층은 상기 할로겐-함유 종들로부터의 할로겐을 포함하는, 상기 프로세싱 챔버 내의 조건들을 유지하기 위한 인스트럭션들;
    (c) 상기 프로세싱 챔버로부터 비-흡착된 할로겐-함유 종들을 제거하도록 상기 배기 시스템을 동작시키기 위한 인스트럭션들;
    (d) 상기 전기적 접촉 경계면 상에 존재하는 오염물들 및 상기 흡착-제한된 층의 할로겐 간의 반응을 활성화하기 위한 인스트럭션들로서, 상기 반응은 상기 전기적 접촉 경계면으로부터의 상기 오염물들의 적어도 부분의 제거를 야기하는, 상기 반응을 활성화하기 위한 인스트럭션들;
    (e) 상기 프로세싱 챔버 내로 금속-함유 종들을 도입시키도록 상기 1 이상의 가스 유입부들을 동작시키기 위한 인스트럭션들; 및
    (f) 상기 금속-함유 종들을 포함하는 금속이 상기 금속화 층을 형성하는 상기 전기적 접촉 경계면 상에 증착되도록 상기 프로세싱 챔버 내의 조건들을 유지하기 위한 인스트럭션들을 포함하는 인스트럭션들을 수행하도록 구성되고,
    상기 오염물들은 상기 부분적으로 가공된 반도체 기판의 반응성 이온 에칭을 수반하는 이전의 가공 동작에서 증착된 1 이상의 플루오로카본 (fluorocarbon) 폴리머 종들을 포함하는, 금속화 층을 형성하기 위한 장치.
  34. 제33 항에 있어서,
    상기 장치는 상기 프로세싱 챔버 내에서 플라즈마를 생성하기 위한 플라즈마 생성기를 더 포함하고,
    상기 반응을 활성화하기 위한 상기 인스트럭션들 (d) 은 상기 플라즈마 챔버 내에 플라즈마를 생성하고 이에 따라 상기 흡착-제한된 층을 상기 플라즈마에 존재하는 자유-라디칼 종들 및 이온에 노출시키도록 상기 플라즈마 생성기를 동작시키기 위한 인스트럭션들을 포함하는, 금속화 층을 형성하기 위한 장치.
  35. 제33 항에 있어서,
    상기 제어기의 인스트럭션들은, 끼어드는 진공 브레이크없이 인스트럭션들 (a) 내지 (f) 가 수행되는 것을 더 제공하는, 금속화 층을 형성하기 위한 장치.
KR1020140097663A 2013-07-30 2014-07-30 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들 KR102303153B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020210121005A KR102440621B1 (ko) 2013-07-30 2021-09-10 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201361860167P 2013-07-30 2013-07-30
US61/860,167 2013-07-30

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020210121005A Division KR102440621B1 (ko) 2013-07-30 2021-09-10 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들

Publications (2)

Publication Number Publication Date
KR20150014901A KR20150014901A (ko) 2015-02-09
KR102303153B1 true KR102303153B1 (ko) 2021-09-16

Family

ID=52428048

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140097663A KR102303153B1 (ko) 2013-07-30 2014-07-30 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들
KR1020210121005A KR102440621B1 (ko) 2013-07-30 2021-09-10 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들
KR1020220110480A KR20220125203A (ko) 2013-07-30 2022-09-01 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR1020210121005A KR102440621B1 (ko) 2013-07-30 2021-09-10 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들
KR1020220110480A KR20220125203A (ko) 2013-07-30 2022-09-01 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들

Country Status (3)

Country Link
US (1) US9362163B2 (ko)
KR (3) KR102303153B1 (ko)
TW (1) TW201521096A (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210114370A (ko) * 2013-07-30 2021-09-23 램 리써치 코포레이션 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching

Families Citing this family (146)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US9159571B2 (en) * 2009-04-16 2015-10-13 Lam Research Corporation Tungsten deposition process using germanium-containing reducing agent
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
KR102064627B1 (ko) 2012-03-27 2020-01-09 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9716192B2 (en) 2014-03-28 2017-07-25 International Business Machines Corporation Method for fabricating a photovoltaic device by uniform plating on emitter-lined through-wafer vias and interconnects
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US20150325716A1 (en) * 2014-05-08 2015-11-12 International Business Machines Corporation Manufacture and structure for photovoltaics including metal-rich silicide
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9806252B2 (en) * 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TW201700761A (zh) * 2015-05-13 2017-01-01 應用材料股份有限公司 經由基材的有機金屬或矽烷預處理而改良的鎢膜
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
TW202336855A (zh) 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US9991128B2 (en) 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US9981286B2 (en) * 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10551741B2 (en) 2016-04-18 2020-02-04 Asm Ip Holding B.V. Method of forming a directed self-assembled layer on a substrate
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
WO2018026509A1 (en) * 2016-08-05 2018-02-08 Applied Materials, Inc. Aluminum fluoride mitigation by plasma treatment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) * 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10199267B2 (en) * 2017-06-30 2019-02-05 Lam Research Corporation Tungsten nitride barrier layer deposition
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
CN111052312A (zh) * 2018-03-26 2020-04-21 株式会社国际电气 半导体器件的制造方法、衬底处理装置及程序
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20200140391A (ko) 2018-05-03 2020-12-15 램 리써치 코포레이션 3d nand 구조체들에 텅스텐 및 다른 금속들을 증착하는 방법
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
WO2020123987A1 (en) 2018-12-14 2020-06-18 Lam Research Corporation Atomic layer deposition on 3d nand structures
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
JP7114554B2 (ja) * 2019-11-22 2022-08-08 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100606532B1 (ko) 2003-08-02 2006-07-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US20100291751A1 (en) * 2009-05-18 2010-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US20110192820A1 (en) * 2010-02-09 2011-08-11 Sungkyunkwan University Foundation For Corporate Collaboration Atomic layer etching apparatus and etching method using the same

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5482802A (en) * 1993-11-24 1996-01-09 At&T Corp. Material removal with focused particle beams
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US8288828B2 (en) * 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
CN101517713B (zh) * 2006-09-19 2011-02-09 东京毅力科创株式会社 等离子体清洁方法和等离子体cvd方法
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8664070B2 (en) * 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
KR101380835B1 (ko) * 2011-07-22 2014-04-04 성균관대학교산학협력단 그래핀의 원자층 식각 방법
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100606532B1 (ko) 2003-08-02 2006-07-31 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US20100291751A1 (en) * 2009-05-18 2010-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US20110192820A1 (en) * 2010-02-09 2011-08-11 Sungkyunkwan University Foundation For Corporate Collaboration Atomic layer etching apparatus and etching method using the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210114370A (ko) * 2013-07-30 2021-09-23 램 리써치 코포레이션 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들
KR102440621B1 (ko) * 2013-07-30 2022-09-05 램 리써치 코포레이션 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들
US11721558B2 (en) 2016-12-19 2023-08-08 Lam Research Corporation Designer atomic layer etching

Also Published As

Publication number Publication date
KR20210114370A (ko) 2021-09-23
KR20150014901A (ko) 2015-02-09
US9362163B2 (en) 2016-06-07
US20150037972A1 (en) 2015-02-05
KR20220125203A (ko) 2022-09-14
TW201521096A (zh) 2015-06-01
KR102440621B1 (ko) 2022-09-05

Similar Documents

Publication Publication Date Title
KR102440621B1 (ko) 접촉부들 및 비아들의 원자 층 세정을 위한 방법들 및 장치들
TWI811199B (zh) 設計者原子層蝕刻
US9953984B2 (en) Tungsten for wordline applications
KR102432484B1 (ko) 서브트랙티브 금속 집적을 위한 라이너 및 배리어 적용
JP6494940B2 (ja) 異なるサイズのフィーチャへのボイドフリータングステン充填
JP7092456B2 (ja) 連続cvdプロセスによる低フッ素タングステンの堆積
KR20230050290A (ko) 텅스텐 클로라이드 전구체를 사용하여 텅스텐 박막 및 텅스텐 나이트라이드 박막을 준비하는 방법들
TWI605146B (zh) 形成可伸展性鎢膜與可壓縮性鎢膜的方法
JP2017199909A (ja) Aleおよび選択的蒸着を用いた基板のエッチング
JP2017008412A5 (ko)
KR20220129105A (ko) 텅스텐을 위한 몰리브덴 템플릿들
KR20220139417A (ko) 금속 막들의 증착
TWI648781B (zh) 在有機化合物氣體環境中之含銅層的中性射束蝕刻
TW202249116A (zh) 用於高縱橫比電漿蝕刻之基於金屬的襯墊保護
US7214327B2 (en) Anisotropic dry etching of Cu-containing layers
KR102637315B1 (ko) 텅스텐 나이트라이드 배리어 층 증착
JP2022529056A (ja) 原子層堆積中の急速フラッシュパージング

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant