KR102066251B1 - 도전성 피처 형성 및 구조물 - Google Patents
도전성 피처 형성 및 구조물 Download PDFInfo
- Publication number
- KR102066251B1 KR102066251B1 KR1020180040491A KR20180040491A KR102066251B1 KR 102066251 B1 KR102066251 B1 KR 102066251B1 KR 1020180040491 A KR1020180040491 A KR 1020180040491A KR 20180040491 A KR20180040491 A KR 20180040491A KR 102066251 B1 KR102066251 B1 KR 102066251B1
- Authority
- KR
- South Korea
- Prior art keywords
- barrier layer
- layer
- dimension
- conductive material
- top surface
- Prior art date
Links
- 230000015572 biosynthetic process Effects 0.000 title description 12
- 230000004888 barrier function Effects 0.000 claims abstract description 214
- 238000000034 method Methods 0.000 claims abstract description 121
- 238000005530 etching Methods 0.000 claims abstract description 73
- 239000004020 conductor Substances 0.000 claims abstract description 55
- 239000010410 layer Substances 0.000 claims description 348
- 239000012790 adhesive layer Substances 0.000 claims description 87
- 239000006227 byproduct Substances 0.000 claims description 29
- 239000000463 material Substances 0.000 claims description 26
- 239000004065 semiconductor Substances 0.000 claims description 26
- 239000000758 substrate Substances 0.000 claims description 21
- 230000000873 masking effect Effects 0.000 claims description 15
- 238000009499 grossing Methods 0.000 claims description 11
- 239000011229 interlayer Substances 0.000 claims description 3
- 239000011800 void material Substances 0.000 claims description 3
- -1 or removing residues Substances 0.000 claims 1
- 229910052751 metal Inorganic materials 0.000 abstract description 7
- 239000002184 metal Substances 0.000 abstract description 7
- 230000008569 process Effects 0.000 description 85
- 239000008367 deionised water Substances 0.000 description 25
- 229910021641 deionized water Inorganic materials 0.000 description 25
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Chemical compound O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 25
- 238000000151 deposition Methods 0.000 description 21
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 16
- 238000000231 atomic layer deposition Methods 0.000 description 12
- 239000002253 acid Substances 0.000 description 11
- 238000005229 chemical vapour deposition Methods 0.000 description 11
- 229910000040 hydrogen fluoride Inorganic materials 0.000 description 10
- 125000006850 spacer group Chemical group 0.000 description 10
- 238000001039 wet etching Methods 0.000 description 10
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 8
- 239000007789 gas Substances 0.000 description 8
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 8
- 229920002120 photoresistant polymer Polymers 0.000 description 7
- 238000001020 plasma etching Methods 0.000 description 7
- VEXZGXHMUGYJMC-UHFFFAOYSA-N Hydrochloric acid Chemical compound Cl VEXZGXHMUGYJMC-UHFFFAOYSA-N 0.000 description 6
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 6
- 229910052782 aluminium Inorganic materials 0.000 description 6
- 239000003989 dielectric material Substances 0.000 description 6
- 238000005240 physical vapour deposition Methods 0.000 description 6
- 229910052710 silicon Inorganic materials 0.000 description 6
- 239000010703 silicon Substances 0.000 description 6
- 239000010936 titanium Substances 0.000 description 6
- 229910052719 titanium Inorganic materials 0.000 description 6
- 229910052581 Si3N4 Inorganic materials 0.000 description 5
- 239000002019 doping agent Substances 0.000 description 5
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 description 4
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 4
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 description 4
- HMDDXIMCDZRSNE-UHFFFAOYSA-N [C].[Si] Chemical compound [C].[Si] HMDDXIMCDZRSNE-UHFFFAOYSA-N 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 230000008021 deposition Effects 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- 229910045601 alloy Inorganic materials 0.000 description 3
- 239000000956 alloy Substances 0.000 description 3
- 238000004380 ashing Methods 0.000 description 3
- 229910052802 copper Inorganic materials 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 239000011521 glass Substances 0.000 description 3
- 229910000041 hydrogen chloride Inorganic materials 0.000 description 3
- IXCSERBJSXMMFS-UHFFFAOYSA-N hydrogen chloride Substances Cl.Cl IXCSERBJSXMMFS-UHFFFAOYSA-N 0.000 description 3
- 238000002513 implantation Methods 0.000 description 3
- 238000011065 in-situ storage Methods 0.000 description 3
- 238000000206 photolithography Methods 0.000 description 3
- 239000000377 silicon dioxide Substances 0.000 description 3
- 235000012239 silicon dioxide Nutrition 0.000 description 3
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 3
- 229910052721 tungsten Inorganic materials 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- MHAJPDPJQMAIIY-UHFFFAOYSA-N Hydrogen peroxide Chemical compound OO MHAJPDPJQMAIIY-UHFFFAOYSA-N 0.000 description 2
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 description 2
- GWEVSGVZZGPLCZ-UHFFFAOYSA-N Titan oxide Chemical compound O=[Ti]=O GWEVSGVZZGPLCZ-UHFFFAOYSA-N 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- 229910052786 argon Inorganic materials 0.000 description 2
- 229910052788 barium Inorganic materials 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000005388 borosilicate glass Substances 0.000 description 2
- 239000011248 coating agent Substances 0.000 description 2
- 238000000576 coating method Methods 0.000 description 2
- 239000010941 cobalt Substances 0.000 description 2
- 229910017052 cobalt Inorganic materials 0.000 description 2
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- PCHJSUWPFVWCPO-UHFFFAOYSA-N gold Chemical compound [Au] PCHJSUWPFVWCPO-UHFFFAOYSA-N 0.000 description 2
- 229910052737 gold Inorganic materials 0.000 description 2
- 239000010931 gold Substances 0.000 description 2
- 229910052735 hafnium Inorganic materials 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 229910052746 lanthanum Inorganic materials 0.000 description 2
- 229910052745 lead Inorganic materials 0.000 description 2
- 229910052749 magnesium Inorganic materials 0.000 description 2
- 238000004519 manufacturing process Methods 0.000 description 2
- 229910044991 metal oxide Inorganic materials 0.000 description 2
- 150000004706 metal oxides Chemical class 0.000 description 2
- 229910052914 metal silicate Inorganic materials 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 229910052759 nickel Inorganic materials 0.000 description 2
- 239000011368 organic material Substances 0.000 description 2
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 2
- 239000005360 phosphosilicate glass Substances 0.000 description 2
- 229910052707 ruthenium Inorganic materials 0.000 description 2
- 239000005368 silicate glass Substances 0.000 description 2
- 229910021332 silicide Inorganic materials 0.000 description 2
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical group [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 2
- 229910052814 silicon oxide Inorganic materials 0.000 description 2
- 229910052709 silver Inorganic materials 0.000 description 2
- 239000004332 silver Substances 0.000 description 2
- 238000004528 spin coating Methods 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- 229910001936 tantalum oxide Inorganic materials 0.000 description 2
- OGIDPMRJRNCKJF-UHFFFAOYSA-N titanium oxide Inorganic materials [Ti]=O OGIDPMRJRNCKJF-UHFFFAOYSA-N 0.000 description 2
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 2
- 239000010937 tungsten Substances 0.000 description 2
- 229910052726 zirconium Inorganic materials 0.000 description 2
- 229910000980 Aluminium gallium arsenide Inorganic materials 0.000 description 1
- VHUUQVKOLVNVRT-UHFFFAOYSA-N Ammonium hydroxide Chemical compound [NH4+].[OH-] VHUUQVKOLVNVRT-UHFFFAOYSA-N 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 description 1
- 229910000673 Indium arsenide Inorganic materials 0.000 description 1
- GPXJNWSHGFTCBW-UHFFFAOYSA-N Indium phosphide Chemical compound [In]#P GPXJNWSHGFTCBW-UHFFFAOYSA-N 0.000 description 1
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 description 1
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 1
- 244000208734 Pisonia aculeata Species 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 1
- AUEPDNOBDJYBBK-UHFFFAOYSA-N [Si].[C-]#[O+] Chemical compound [Si].[C-]#[O+] AUEPDNOBDJYBBK-UHFFFAOYSA-N 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 239000000908 ammonium hydroxide Substances 0.000 description 1
- 238000000137 annealing Methods 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 239000003990 capacitor Substances 0.000 description 1
- 239000003575 carbonaceous material Substances 0.000 description 1
- 238000006243 chemical reaction Methods 0.000 description 1
- 239000002131 composite material Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000005669 field effect Effects 0.000 description 1
- 230000009969 flowable effect Effects 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 1
- BHEPBYXIRTUNPN-UHFFFAOYSA-N hydridophosphorus(.) (triplet) Chemical compound [PH] BHEPBYXIRTUNPN-UHFFFAOYSA-N 0.000 description 1
- 239000001257 hydrogen Substances 0.000 description 1
- 229910052739 hydrogen Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- RPQDHPTXJYYUPQ-UHFFFAOYSA-N indium arsenide Chemical compound [In]#[As] RPQDHPTXJYYUPQ-UHFFFAOYSA-N 0.000 description 1
- 150000002500 ions Chemical class 0.000 description 1
- 230000007246 mechanism Effects 0.000 description 1
- 230000000116 mitigating effect Effects 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 230000007935 neutral effect Effects 0.000 description 1
- JMANVNJQNLATNU-UHFFFAOYSA-N oxalonitrile Chemical compound N#CC#N JMANVNJQNLATNU-UHFFFAOYSA-N 0.000 description 1
- 239000001301 oxygen Substances 0.000 description 1
- 229910052760 oxygen Inorganic materials 0.000 description 1
- 238000000059 patterning Methods 0.000 description 1
- 229910052698 phosphorus Inorganic materials 0.000 description 1
- 239000011574 phosphorus Substances 0.000 description 1
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 1
- 229920000642 polymer Polymers 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 150000004760 silicates Chemical class 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 1
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 1
- 238000006467 substitution reaction Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76847—Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76829—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32134—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by liquid etching only
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76805—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
- H01L21/76846—Layer combinations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76853—Barrier, adhesion or liner layers characterized by particular after-treatment steps
- H01L21/76865—Selective removal of parts of the layer
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76877—Filling of holes, grooves or trenches, e.g. vias, with conductive material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76897—Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53214—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being aluminium
- H01L23/53223—Additional layers associated with aluminium layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53228—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
- H01L23/53238—Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53242—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
- H01L23/53252—Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/532—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
- H01L23/53204—Conductive materials
- H01L23/53209—Conductive materials based on metals, e.g. alloys, metal silicides
- H01L23/53257—Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
- H01L23/53266—Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76895—Local interconnects; Local pads, as exemplified by patent document EP0896365
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/48—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
- H01L23/482—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
- H01L23/485—Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L23/00—Details of semiconductor or other solid state devices
- H01L23/52—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
- H01L23/522—Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
- H01L23/5226—Via connections in a multilevel interconnection structure
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Manufacturing & Machinery (AREA)
- Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
일반적으로, 본 발명개시는 금속 콘택트, 비아, 라인 등과 같은 도전성 피처들에 관한 예시적인 실시예들, 및 그러한 도전성 피처들을 형성하기 위한 방법들을 제공한다. 실시예에서, 배리어층이 측벽을 따라 형성된다. 측벽을 따른 배리어층의 일부분이 에치백된다. 배리어층의 일부분을 에치백한 후에, 측벽을 따른 배리어층의 윗 부분은 평활화된다. 도전성 물질이 배리어층의 평활화된 윗 부분 위에 그리고 배리어층을 따라 형성된다.
Description
본 출원은 "Conductive Feature Formation and Structure"이라는 발명의 명칭으로 2017년 11월 30일에 출원된 미국 가특허 출원 제62/592,476호의 이익과 우선권을 청구하며, 이 가특허 출원 내용은 그 전체가 참조로서 본 명세서 내에 병합된다.
반도체 집적 회로(integrated circuit; IC) 산업은 기하급수적인 성장을 경험해 왔다. IC 물질 및 설계에서의 기술적 진보들은 이전의 IC 세대보다 더 작고 더 복잡한 회로들을 각각 갖는 IC 세대들을 낳았다. IC 진화의 과정에서, 기능적 밀도(예컨대, 칩 면적 당 상호연결된 디바이스들의 갯수)는 일반적으로 증가되어 왔으며 지오메트리 크기(예컨대, 제조 공정을 이용하여 생성될 수 있는 가장 작은 컴포넌트(또는 라인))는 감소해왔다. 이러한 스케일링 다운 공정은 일반적으로 생산 효율성을 증가시키고 관련 비용을 낮춤으로써 이로움들을 제공한다. 하지만, 스케일링 다운은 또한 더 큰 지오메트리에서 이전 세대에 의해 제시되지 않을 수 있었던 과제들을 야기시킨다.
실시예는 방법이다. 배리어층이 측벽을 따라 형성된다. 측벽을 따른 배리어층의 일부분이 에치백된다. 배리어층의 일부분을 에치백한 후에, 측벽을 따른 배리어층의 윗 부분은 평활화된다. 도전성 물질이 배리어층의 평활화된 윗 부분 위에 그리고 배리어층을 따라 형성된다.
다른 실시예는 구조물이다. 이 구조물은 유전체층, 배리어층, 및 도전성 물질을 포함한다. 유전체층은 측벽을 갖는다. 배리어층은 측벽을 따라 있고, 배리어층의 윗면은 유전체층의 최상면 아래에 있다. 배리어층의 윗 부분의 두께는 배리어층의 아랫 부분의 두께보다 작다. 도전성 물질이 배리어층의 윗면 위에 그리고 배리어층을 따라 존재한다. 도전성 물질은 유전체층의 최상면과 동일 평면 상에 있는 최상면을 갖는다.
추가적인 실시예는 방법이다. 유전체층이 반도체 기판 위에 형성되고, 개구가 유전체층을 관통하여 형성된다. 배리어층이 개구 내에 컨포멀하게 형성된다. 배리어층의 제1 윗 부분이 개구로부터 제거된다. 배리어층의 제1 윗 부분을 제거한 후 배리어층의 남아있는 윗 부분은 개구 내에 존재한다. 배리어층의 제1 윗 부분을 제거한 후, 배리어층은 에칭된다. 도전성 물질이 개구 내의 배리어층 상에 형성된다. 도전성 물질의 최상면은 유전체층의 최상면과 동일 평면 상에 있고, 도전성 물질은 배리어층의 남아있는 윗 부분 위에 있는 일부분을 갖는다.
일부 실시예들은 장점들을 달성할 수 있다. (예를 들어, 부산물 및/또는 잔류물에 의해 적어도 부분적으로 유발되는) 개구 또는 리세스의 윗 부분에서의 수축을 제거함으로써, 도전성 피처를 형성할 도전성 물질은, 개구 또는 리세스 내에서 보이드를 형성시키지 않고서, 개구 또는 리세스 내에서 보다 쉽게 퇴적될 수 있다. 특히, 도전성 피처의 치수가 작은 경우, 도전성 피처 내의 보이드는 도전성 피처의 보다 높은 저항을 야기시킬 수 있거나 또는 전기적 접촉을 구축하지 못하게 하는 것과 같이, 도전성 피처의 완전한 장애를 야기시킬 수 있다. 따라서, 보이드 형성을 완화시키는 것은 7㎚ 이하와 같은 작은 기술 노드에서 특히 유리할 수 있다. 또한, 일부 실시예들에서 도전성 피처에서의 접착층과 배리어층의 높이는 제2 풀링 백에 의해 보다 잘 조정될 수 있다.
본 발명개시의 양태들은 첨부 도면들과 함께 읽혀질 때 아래의 상세한 설명으로부터 최상으로 이해된다. 본 산업계에서의 표준적인 관행에 따라, 다양한 피처들은 실척도로 작도되지 않았음을 유념한다. 실제에서, 다양한 피처들의 치수는 설명의 명료함을 위해 임의적으로 증가되거나 또는 감소될 수 있다.
도 1 내지 도 19는 일부 실시예들에 따라 도전성 피처들을 형성하기 위한 예시적인 방법 동안의 각각의 중간 구조물들의 단면도들이다.
도 20은 일부 실시예들에 따른 도전성 피처를 형성하기 위한 예시적인 방법의 중간 단계에서의 유전체층을 관통하는 개구 내의 층들의 프로파일이다.
도 21은 일부 실시예들에 따른 도전성 피처를 형성하기 위한 예시적인 방법의 중간 단계에서의 유전체층을 관통하는 개구 내의 층들의 프로파일이다.
도 1 내지 도 19는 일부 실시예들에 따라 도전성 피처들을 형성하기 위한 예시적인 방법 동안의 각각의 중간 구조물들의 단면도들이다.
도 20은 일부 실시예들에 따른 도전성 피처를 형성하기 위한 예시적인 방법의 중간 단계에서의 유전체층을 관통하는 개구 내의 층들의 프로파일이다.
도 21은 일부 실시예들에 따른 도전성 피처를 형성하기 위한 예시적인 방법의 중간 단계에서의 유전체층을 관통하는 개구 내의 층들의 프로파일이다.
아래의 발명개시는 제공되는 본 발명내용의 여러 특징들을 구현하기 위한 많은 여러 실시예들 또는 예시들을 제공한다. 본 발명개시를 단순화하기 위해 컴포넌트 및 장치의 특정예들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 이것들로 한정시키고자 의도한 것은 아니다. 예를 들어, 이후의 상세설명에서 제2 피처 상에서의 또는 그 위에서의 제1 피처의 형성은 제1 및 제2 피처들이 직접적으로 접촉하여 형성되는 실시예들을 포함할 수 있으며, 또한 제1 및 제2 피처들이 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 및 제2 피처들 사이에서 형성될 수 있는 실시예들을 포함할 수 있다. 또한, 본 발명개시는 다양한 예시들에서 참조 숫자들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 목적으로 한 것이며, 그러한 반복 자체는 개시된 다양한 실시예들 및/또는 구성들 간의 관계에 영향을 주는 것은 아니다.
뿐만 아니라, 도면들에서 도시된 하나의 엘리먼트 또는 피처에 대한 다른 엘리먼트(들) 또는 피처(들)의 관계를 설명하기 위해 "아래", "밑", "보다 낮은", "위", "보다 위" 등과 같은 공간 상대적 용어들이 설명의 용이성을 위해 여기서 이용될 수 있다. 공간 상대적 용어들은 도면들에서 도시된 배향에 더하여 이용중에 있거나 또는 동작중에 있는 디바이스의 상이한 배향들을 망라하도록 의도된 것이다. 장치는 이와달리 배향될 수 있고(90°회전되거나 또는 다른 배향으로 회전됨), 이에 따라 여기서 이용되는 공간 상대적 기술어들이 이와 똑같이 해석될 수 있다.
일반적으로, 본 발명개시는 금속 콘택트, 비아, 라인 등과 같은 도전성 피처들에 관한 예시적인 실시예들, 및 그러한 도전성 피처들을 형성하기 위한 방법들을 제공한다. 일부 예시들에서, 유전체층을 관통하는 개구 내에 형성된 배리어층 및/또는 접착층은 유전체의 최상면 아래에 있는 높이를 개구 내에서 갖도록 풀링 백(pull back)(예를 들어, 에칭)된다. 배리어층 및/또는 접착층을 풀링 백시키기 위한 일부 예시적인 공정들은 개구의 상부 영역에서 수축을 야기할 수 있고, 따라서 일부 예시들에서, 수축을 감소시키거나 또는 제거하도록 배리어층 및/또는 접착층을 평활화(smooth)하기 위해 후속 풀링 백(예를 들어, 에칭)이 수행된다. 무엇보다도, 이는 배리어층 및/또는 접착층 상에 퇴적된 도전성 물질 내에서 보이드(void)를 형성시키지 않고서 이 도전성 물질이 개구 내에 퇴적되게 할 수 있다.
본 명세서에서 설명된 예시적인 실시예들은 트랜지스터들을 위한 FEOL(Front End Of the Line), MEOL(Middle End Of the Line), 및/또는 BEOL(Back End Of the Line) 처리에서 도전성 피처들을 형성하는 환경에서 설명된다. 본 발명개시의 일부 양태들의 구현예들은 다른 공정들 및/또는 다른 디바이스들에서 사용될 수 있다. 예시적인 방법들 및 구조물들의 일부 변형예들이 설명된다. 본 업계의 당업자는 다른 실시예들의 범위 내에서 다른 수정들이 구상가능할 수 있다는 것을 손쉽게 이해할 것이다. 방법 실시예들은 특정 순서로 설명될 수 있지만, 다양한 다른 방법 실시예들이 임의의 논리적인 순서로 수행될 수 있고 본 명세서에서 설명된 단계들보다 적은 수 또는 많은 수의 단계들을 포함할 수 있다. 일부 도면들에서, 본원에서 예시된 컴포넌트들 또는 피처들의 일부 참조 번호들은 다른 컴포넌트들 또는 피처들을 모호하게 하는 것을 피하기 위해 생략될 수 있으며, 이것은 도면들을 쉽게 도시하기 위한 것이다.
도 1 내지 도 19는 일부 실시예들에 따라 도전성 피처들을 형성하기 위한 예시적인 방법 동안의 각각의 중간 구조물들의 단면도들을 나타낸다. 도 1은 디바이스들의 적어도 일부가 형성되어 있는 반도체 기판(30)을 도시한다. 반도체 기판(30)은 벌크 반도체, 반도체 온 절연체(semiconductor-on-insulator; SOI) 기판 등일 수 있거나 또는 이를 포함할 수 있고, (예컨대, p형 또는 n형 도펀트로) 도핑될 수 있거나 또는 도핑되지 않을 수 있다. 일반적으로, SOI 기판은 절연체층 상에 형성된 반도체 물질층을 포함한다. 절연체층은, 예컨대, 매립형 산화물(buried oxide; BOX)층, 실리콘 이산화물층 등일 수 있다. 절연체층은 기판, 일반적으로는 실리콘 또는 유리 기판이거나 또는 그 위에 제공된다. 다중층 또는 구배 기판과 같은, 다른 기판들이 또한 이용될 수 있다. 일부 실시예들에서, 반도체 기판의 반도체 물질은 실리콘(Si)과 게르마늄(Ge)과 같은 원소 반도체; 실리콘 탄화물, 갈륨 비소, 갈륨 인, 인듐 인, 인듐 비소, 및/또는 인듐 안티몬을 비롯한 화합물 반도체; SiGe, GaAsP, AlInAs, AlGaAs, GaInAs, GaInP, 및/또는 GaInAsP를 비롯한 합금 반도체; 또는 이들의 조합을 포함할 수 있다.
도면들에서 예시되고 본원에서 설명된 바와 같이, 디바이스들은 평면형 전계 효과 트랜지스터(Field Effect Transistor; FET) 또는 핀 FET(FinFET)일 수 있는 FET이다. 다른 구현예들에서, 디바이스들은 수직 게이트 올 어라운드(Vertical Gate All Around; VGAA) FET, 수평 게이트 올 어라운드(Horizontal Gate All Around; HGAA) FET, 바이폴라 접합 트랜지스터(bipolar junction transistor; BJT), 다이오드, 커패시터, 인덕터, 저항기 등을 포함할 수 있다. 평면형 FET 및/또는 FinFET에 따르면, 게이트 스택(32)이 반도체 기판(30)의 활성 영역들 상에 형성된다. 평면형 FET에서, 활성 영역들은 격리 영역들에 의해 규정된 반도체 기판(30)의 최상면에 있는 일부분일 수 있다. FinFET에서, 활성 영역들은 반도체 기판(30) 상에서 격리 영역들 사이로부터 돌출해 있는 3차원 핀일 수 있다.
게이트 스택(32)은 게이트 퍼스트(gate-first) 공정에서와 같은 연산형 게이트 스택일 수 있거나, 또는 대체 게이트 공정에서와 같은 더미 게이트 스택일 수 있다. 각각의 게이트 스택(32)은 활성 영역 위의 유전체층, 유전체층 위의 게이트층, 및 일부 경우에서는, 게이트층 위의 마스크층을 포함할 수 있다. 게이트 스택(32)을 위한 유전층, 게이트층, 및 마스크층은 각각의 층들을 순차적으로 형성 또는 퇴적하고, 그런 후, 이들 층들을 게이트 스택(32)으로 패터닝함으로써 형성될 수 있다. 예를 들어, 게이트 퍼스트 공정 또는 대체 게이트 공정에서, 유전체층은 실리콘 산화물, 실리콘 질화물 등, 또는 이들의 다중층일 수 있거나 또는 이들을 포함할 수 있고; 게이트층은 실리콘(예를 들어, 폴리실리콘) 또는 다른 물질일 수 있거나 또는 이들을 포함할 수 있으며; 마스크층은 실리콘 질화물, 실리콘 산질화물, 실리콘 탄소 질화물 등, 또는 이들의 조합일 수 있거나 또는 이들을 포함할 수 있다. 예를 들어, 게이트 퍼스트 공정에서, 유전체층(예를 들어, 게이트 유전체)은 약 7.0보다 큰 k 값을 갖는 하이 k(high-k) 유전체 물질일 수 있거나 또는 이를 포함할 수 있고, 이 하이 k 유전체 물질은 Hf, Al, Zr, La, Mg, Ba, Ti, Pb의 금속 산화물 또는 실리케이트, 이들의 다중층, 또는 이들의 조합을 포함할 수 있으며, 게이트층(예를 들어, 게이트 전극)은 TiN, TaN, TaC, Co, Ru, Al, 이들의 다중층, 또는 이들의 조합과 같은 금속 함유 물질일 수 있거나 또는 이들을 포함할 수 있다. 유전체층, 게이트층, 및 마스크층을 형성하거나 또는 퇴적하기 위한 공정들은 열적 및/또는 화학적 성장, 화학적 기상 증착(Chemical Vapor Deposition; CVD), 플라즈마 강화 CVD(Plasma-Enhanced CVD; PECVD), 분자 빔 증착(Molecular-Beam Deposition; MBD), 원자층 증착(Atomic Layer Deposition; ALD), 물리적 기상 증착(Physical Vapor Deposition; PVD), 및 다른 증착 기술들을 포함한다.
그 후, 게이트 스택(32)을 위한 층들은, 예를 들어, 포토리소그래피 및 하나 이상의 에칭 공정을 이용하여, 게이트 스택(32)이 되도록 패터닝될 수 있다. 예를 들어, 스핀 온 코팅 등을 사용하여 마스크층(또는, 예를 들어, 마스크층이 구현되지 않은 경우, 게이트층) 상에 포토레지스트가 형성될 수 있고, 포토레지스트는 적절한 포토마스크를 사용하여 포토레지스트를 광에 노광시킴으로써 패터닝될 수 있다. 그 후, 포지티브 또는 네거티브 레지스트가 사용되는지 여부에 따라 포토레지스트의 노광된 부분 또는 노광되지 않은 부분이 제거될 수 있다. 그 후, 포토레지스트의 패턴은 하나 이상의 적절한 에칭 공정 등을 사용하여 게이트 스택(32)의 층들로 전사될 수 있다. 하나 이상의 에칭 공정은 반응성 이온 에칭(reactive ion etch; RIE), 중성 빔 에칭(neutral beam etch; NBE) 등, 또는 이들의 조합을 포함할 수 있다. 에칭은 이방성일 수 있다. 이어서, 예를 들어, 애싱 또는 습식 스트립 공정들에서 포토레지스트가 제거된다.
게이트 스택(32)의 측벽들(예를 들어, 유전체층, 게이트층, 및 마스크층의 측벽들)을 따라 그리고 반도체 기판(30) 상의 활성 영역들 위에 게이트 스페이서(34)가 형성된다. 게이트 스페이서(34)는, 예를 들어, 게이트 스페이서(34)를 위한 하나 이상의 층을 컨포멀하게(conformally) 퇴적하고 이 하나 이상의 층을 이방성 에칭함으로써 형성될 수 있다. 게이트 스페이서(34)를 위한 하나 이상의 층은 실리콘 질화물, 실리콘 산질화물, 실리콘 탄소 질화물 등, 이들의 다중층, 또는 이들의 조합일 수 있거나 또는 이들을 포함할 수 있고, 에칭 공정은 RIE, NBE, 또는 다른 에칭 공정을 포함할 수 있다.
게이트 스택(32)의 대향 측면들 상의 활성 영역들에 소스/드레인 영역(36)이 형성된다. 일부 예시들에서, 소스/드레인 영역(36)은 게이트 스택(32) 및 게이트 스페이서(34)를 마스크로서 사용하여 활성 영역들 내에 도펀트를 주입함으로써 형성된다. 이에 따라, 소스/드레인 영역(36)은 각각의 게이트 스택(32)의 대향 측면들 상에서의 주입에 의해 형성될 수 있다. 다른 예시들에서, 활성 영역들은 게이트 스택(32) 및 게이트 스페이서(34)를 마스크로서 사용하여 리세싱될 수 있으며, 에피택셜 소스/드레인 영역(36)은 리세스 내에서 에피택셜 성장될 수 있다. 에피택셜 소스/드레인 영역(36)은 활성 영역에 대해 융기될 수 있다. 에피택셜 소스/드레인 영역(36)은 에피택셜 성장 동안 인시츄(in situ) 도핑에 의해 및/또는 에피택셜 성장 후 주입에 의해 도핑될 수 있다. 따라서, 소스/드레인 영역(36)은 각각의 게이트 스택(32)의 대향 측면들 상에서, 에피택셜 성장에 의해, 그리고 가능하게는 주입으로 형성될 수 있다. 소스/드레인 영역(36)을 위한 예시적인 도펀트는, 예를 들어, p형 디바이스의 경우에서는 붕소이거나 또는 이를 포함할 수 있고, n형 디바이스의 경우에서는 인 또는 비소이거나 또는 이를 포함할 수 있지만, 다른 도펀트가 사용될 수 있다. 소스/드레인 영역(36)은 약 1019㎝-3 내지 약 1021㎝-3의 범위의 도펀트 농도를 가질 수 있다.
도 2는 제1 층간 유전체(interlayer dielectric; ILD)(38) 및 제2 ILD(40)의 형성을 도시한다. 제1 ILD(38) 및 제2 ILD(40)는 예를 들어, 에칭 정지층(etch stop layer; ESL) 및 로우 k(low-k) 유전체층과 같은 주요 유전체층을 각각 포함할 수 있다. 일반적으로, 에칭 정지층은, 예컨대, 콘택트 또는 비아를 형성할 때 에칭 공정을 정지시키기 위한 메커니즘을 제공할 수 있다. 에칭 정지층은 인접층들, 예를 들어, ILD의 주요 유전체층과는 상이한 에칭 선택비를 갖는 유전체 물질로 형성될 수 있다.
제1 ILD(38)는 활성 영역, 게이트 스택(32), 및 게이트 스페이서(34) 위에 퇴적된다. 예를 들어, 에칭 정지층은 활성 영역, 게이트 스택(32), 및 게이트 스페이서(34) 위에 컨포멀하게 퇴적될 수 있다. 에칭 정지층은 실리콘 질화물, 실리콘 탄소 질화물, 실리콘 탄소 산화물, 탄소 질화물 등 또는 이들의 조합일 수 있거나 또는 이들을 포함할 수 있고, CVD, PECVD, ALD, 또는 다른 퇴적 기술에 의해 퇴적될 수 있다. 그 후, 예를 들어, 주요 유전체층이 에칭 정지층 위에 퇴적된다. 주요 유전체층은 실리콘 산질화물, PSG(phosphosilicate glass), BSG(borosilicate glass), BPSG(borophosphosilicate glass), USG(undoped silicate glass), FSG(fluorinated silicate glass), OSG(organosilicate glass), SiOxCy, 스핀 온 글래스, 스핀 온 폴리머, 실리콘 탄소 물질, 이들의 화합물, 이들의 복합물 등, 또는 이들의 조합과 같은, 실리콘 이산화물, 로우 k 유전체 물질(예컨대, 실리콘 이산화물보다 더 낮은 유전상수를 갖는 물질)일 수 있거나 또는 이들을 포함할 수 있다. 주요 유전체층은 스핀 온, CVD, 유동성 CVD(Flowable CVD; FCVD), PECVD, PVD, 또는 다른 퇴적 기술들에 의해 퇴적될 수 있다.
제1 ILD(38)는 퇴적된 후에 평탄화될 수 있다. 화학적 기계적 폴리싱(Chemical Mechanical Polish; CMP)과 같은 평탄화 공정이 제1 ILD(38)를 평탄화하기 위해 수행될 수 있다. 게이트 퍼스트 공정과 같은 일부 공정들에서, 제1 ILD(38)의 최상면은 게이트 스택(32)의 최상면 위에 있을 수 있다. 대체 게이트 공정과 같은 다른 공정들에서, 제1 ILD(38)를 통해 게이트 스택(32)을 노출시키도록, 제1 ILD(38)의 최상면은 게이트 스택(32)의 최상면과 동일 평면 상에 있도록 평탄화된다. 그러한 공정에서, 평탄화는 게이트 스택(32)의 마스크층(및, 일부 경우들에서, 게이트 스페이서(34)의 윗 부분)을 제거할 수 있으며, 따라서, 게이트 스택(32)의 게이트층의 최상면은 제1 ILD(38)를 통해 노출된다.
대체 게이트 공정에서, 제1 ILD(38)를 통해 노출된 게이트 스택(32)은 제거되어 다른 게이트 스택(32)으로 대체될 수 있다. 게이트 스택(32)의 게이트층 및 유전체층은, 제1 ILD(38)를 통해 노출되면, 하나 이상의 에칭 공정 등에 의해 제거된다. 게이트층은 게이트층에 대해 선택적인 에칭 공정에 의해 제거될 수 있으며, 유전체층은 에칭 정지층으로서 작용할 수 있고, 이어서 유전체층은 유전체층에 대해 선택적인 상이한 에칭 공정에 의해 제거될 수 있다. 에칭 공정은, 예를 들어, RIE, NBE, 습식 에칭, 또는 다른 에칭 공정일 수 있다. 게이트 스택(32)이 제거되었던 곳에서 대체 게이트 스택이 게이트 스택(32)으로서 형성될 수 있다. 대체 게이트 스택(32)은 각각 하나 이상의 컨포멀층 및 하나 이상의 컨포멀층 위의 게이트 전극을 포함할 수 있다. 하나 이상의 컨포멀층은 게이트 유전체층을 포함하고, 하나 이상의 일함수 조정층을 포함할 수 있다.
게이트 스택(32)이 제거되었던 곳에서 게이트 유전체층이 (예컨대, 게이트 스페이서(34)의 활성 영역, 측벽 및 최상면 상의 표면들 상에) 그리고 제1 ILD(38)의 최상면 상에 컨포멀하게 퇴적될 수 있다. 게이트 유전체층은 실리콘 산화물, 실리콘 질화물, 하이 k 유전체 물질, 이들의 다중층, 또는 다른 유전체 물질일 수 있거나 또는 이들을 포함할 수 있다. 하이 k 유전체 물질은 약 7.0보다 큰 k 값을 가질 수 있으며, Hf, Al, Zr, La, Mg, Ba, Ti, Pb, 또는 이들의 조합의 금속 산화물 또는 금속 실리케이트를 포함할 수 있다. 게이트 유전체층은 ALD, PECVD, MBD, 또는 다른 퇴적 기술에 의해 퇴적될 수 있다.
그 후, 일함수 조정층은, 구현되면, 게이트 유전체층 상에 컨포멀하게 퇴적될 수 있다. 일함수 조정층은 탄탈륨, 탄탈륨 질화물, 티타늄, 티타늄 질화물 등, 또는 이들의 조합일 수 있거나 또는 이들을 포함할 수 있고, ALD, PECVD, MBD, 또는 다른 퇴적 기술에 의해 퇴적될 수 있다. 임의의 추가적인 일함수 조정층이 제1 일함수 조정층과 유사하게 순차적으로 퇴적될 수 있다.
게이트 전극을 위한 층이 하나 이상의 컨포멀층 위에 형성된다. 게이트 전극을 위한 층은 게이트 스택(32)이 제거되었던 잔존 영역을 채울 수 있다. 게이트 전극을 위한 층은 Co, Ru, Al, W, Cu, 이들의 다중층, 또는 이들의 조합과 같은 금속 함유 물질일 수 있거나 또는 이들을 포함할 수 있다. 게이트 전극을 위한 층은 ALD, PECVD, MBD, PVD, 또는 다른 퇴적 기술에 의해 퇴적될 수 있다.
게이트 전극을 위한 층의 일부분 및 제1 ILD(38)의 최상면 위의 하나 이상의 컨포멀층이 제거된다. 예를 들어, CMP와 같은 평탄화 공정은 게이트 전극을 위한 층의 일부분 및 제1 ILD(38)의 최상면 위의 하나 이상의 컨포멀층을 제거할 수 있다. 따라서, 게이트 전극 및 하나 이상의 컨포멀층을 포함하는 대체 게이트 스택(32)이 형성될 수 있다.
제2 ILD(40)가 제1 ILD(38) 위에 퇴적된다. 예를 들어, 에칭 정지층이 제1 ILD(38) 위에 컨포멀하게 퇴적될 수 있다. 그 후, 예를 들어, 주요 유전체층이 에칭 정지층 위에 퇴적된다. 제2 ILD(40)의 주요 유전체층과 에칭 정지층은 동일하거나 유사한 물질들일 수 있거나 또는 이들을 포함할 수 있고, 제1 ILD(38)와 관련하여 상술한 것과 동일하거나 유사한 기술을 사용하여 퇴적될 수 있다. 제2 ILD(40)는 퇴적된 후 CMP와 같은 것에 의해 평탄화될 수 있다.
도 3은 제2 ILD(40) 및 제1 ILD(38)를 관통하는 개구(42, 44, 46)의 형성을 도시한다. 제1 개구(42)는 게이트 스택(32) 및 인접한 소스/드레인 영역(36)을 노출시킨다. 따라서, 제1 개구(42)는 노출된 게이트 스택(32)과, 인접한 소스/드레인 영역(36) 사이에 끼어진 도전성 피처를 형성하기 위한 것이다. 제2 개구(44)는 소스/드레인 영역(36)을 노출시키고, 따라서 노출된 소스/드레인 영역(36)에 이르도록 도전성 피처를 형성하기 위한 것이다. 제3 개구(46)는 게이트 스택(32)을 노출시키고, 따라서 노출된 게이트 스택(32)에 이르도록 도전성 피처를 형성하기 위한 것이다. 개구(42, 44, 46)는, 예를 들어, 적절한 포토리소그래피 및 에칭 공정들을 사용하여 형성될 수 있다. 예로서, 개구(44)는 약 10㎚ 내지 약 50㎚의 범위의 제1 치수(D1)(예를 들어, 폭)를 가질 수 있고, 약 50㎚ 내지 약 200㎚의 범위의 제2 치수(D2)(예를 들어, 높이)를 가질 수 있다. 개구(44)의 종횡비(예를 들어, 제2 치수(D2) 대 제1 치수(D1)의 비)는 약 2 내지 약 4의 범위일 수 있다.
도 4는 개구(42, 44, 46)에서 컨포멀하게 접착층(50)을 형성하고 접착층(50) 상에 배리어층(52)을 형성하는 것을 도시한다. 접착층(50)은 노출된 소스/드레인 영역(36), 노출된 게이트 스택(32), 제1 ILD(38) 및 제2 ILD(40)의 측벽, 및 제2 ILD(40)의 최상면 상에서와 같이, 개구(42, 44, 46) 내에서 컨포멀하게 퇴적된다. 배리어층(52)은 접착층(50) 상에 컨포멀하게 퇴적된다. 접착층(50)은, 예를 들어, 티타늄, 코발트, 니켈 등 또는 이들의 조합일 수 있거나 또는 이들을 포함할 수 있으며, ALD, CVD, 또는 다른 퇴적 기술에 의해 퇴적될 수 있다. 배리어층(52)은 티타늄 질화물, 티타늄 산화물, 탄탈륨 질화물, 탄탈륨 산화물 등, 또는 이들의 조합일 수 있거나 또는 이들을 포함할 수 있으며, ALD, CVD, 또는 다른 퇴적 기술에 의해 퇴적될 수 있다. 소스/드레인 영역(36)의 윗 부분들을 접착층(50) 및/또는 배리어층(52)과 반응시킴으로써 소스/드레인 영역(36)의 윗 부분들 상에 실리사이드 영역들이 형성될 수 있다. 소스/드레인 영역(36)과 접착층(50) 및/또는 배리어층(52)의 반응을 용이하게 하기 위해 어닐링이 수행될 수 있다. 특정 예시에서, 접착층(50)은 티타늄 층이고, 배리어층(52)은 티타늄 질화물 층이다. 접착층(50)과 배리어층(52)은 후술하는 바와 같이 이후의 추가적인 처리에서 다양한 두께를 가질 수 있다.
도 5는 개구(42, 44, 46) 내 배리어층(52) 위에서의 바닥 반사 방지 코팅(Bottom Anti-Reflection Coating; BARC)(54)의 형성을 도시한다. BARC(54)는 예를 들어, 스핀 코팅 또는 다른 퇴적 기술에 의해 퇴적된 유기 물질 또는 다른 물질일 수 있다. BARC(54)는 초기에 개구(42, 44, 46) 내에 그리고 제2 ILD(40)의 최상면 위 및/또는 배리어층(52)의 최상면들 위의 레벨까지 퇴적될 수 있다. BARC(54)는 이어서 제2 ILD(40)의 최상면 아래에 최상면을 갖도록 에치백(etched back)될 수 있다. BARC(54)는 제2 ILD(40)의 최상면 아래로 제3 치수(D3)까지 에치백될 수 있으며, 제3 치수(D3)는 약 15㎚ 내지 약 40㎚의 범위일 수 있다. 또한, 제4 치수(D4)는 개구(44)의 바닥면(예를 들어, 개구(44)가 형성된 활성 영역의 표면)으로부터 BARC(54)의 최상면까지이다. 제4 치수(D4) 대 제2 치수(D2)의 비는 예컨대, 약 0.7 미만과 같이 1 미만이고, 보다 구체적으로는, 약 0.3 내지 약 0.7의 범위이다.
에치백은 건식(예를 들어, 플라즈마) 에칭 공정일 수 있거나 또는 이를 포함할 수 있다. 플라즈마 에칭 공정은 RIE, NBE, ICP 에칭 등, 또는 이들의 조합을 포함할 수 있다. 플라즈마 에칭 공정을 위해 사용될 수 있는 예시적인 에천트 가스는 아르곤(Ar) 가스 또는 다른 에천트 가스를 포함한다. 플라즈마 에칭 공정의 에천트 가스(들)의 유량은 약 2000sccm 내지 약 5000sccm의 범위일 수 있다. 플라즈마 에칭 공정은 약 100kV 내지 약 300kV의 범위의 DC 기판 바이어스를 구현할 수 있다. 플라즈마 에칭 공정의 전력은 약 500W 내지 약 1500W의 범위일 수 있다. 플라즈마 에칭 공정의 압력은 약 3mtorr 내지 약 5mtorr의 범위일 수 있다. 에치백의 깊이(예를 들어, 제3 치수(D3))는 에치백을 위해 사용된 에칭 공정의 지속기간에 의해 제어될 수 있다. 플라즈마 에칭 공정의 지속기간은 약 15초 내지 약 120초의 범위일 수 있다.
도 6은 BARC(54)의 최상면들 위 및 개구(42, 44, 46)의 상부 영역들에서의 배리어층(52) 및 접착층(50)의 일부분들의 풀링 백(예를 들어, 에칭에 의한 제거)을 도시한다. BARC(54)의 최상면들 위 및 개구(42, 44, 46)의 상부 영역들에서의 배리어층(52) 및 접착층(50)의 일부분들을 제거함으로써, 제1, 제2, 및 제3 도전성 피처 접착층(50a, 50b, 50c) 및 제1, 제2, 및 제3 도전성 피처 배리어층(52a, 52b, 52c)이 각각 제1, 제2, 및 제3 개구(42, 44, 46)에 형성된다.
배리어층(52) 및 접착층(50)의 일부분은 에칭 공정을 사용하여 제거될 수 있다. 에칭 공정은 2단계 습식 에칭 공정을 포함할 수 있다. 제1 습식 에천트로 전처리가 수행된다. 예시적인 제1 습식 에천트는 희석된 불화수소(dHF)산을 포함한다. 일부 예시들에서, dHF는 약 1의 불화수소(HF)산 대 100의 탈이온수(DIW)(1:100)에서부터 약 1의 HF산 대 500의 DIW(1:500)의 범위와 같이, 약 1의 HF산 대 100 이상의 DIW(1:≥100, HF:DIW)로 희석될 수 있다. 이어서, 제2 습식 에천트로 제2 단계 에칭이 수행된다. 예시적인 제2 습식 에천트는 불화수소(HF)산, 과산화수소(H2O2), 수산화 암모늄(NH4OH), 염화수소산(HCl), 표준 클린 1(SC1), 표준 클린 2(SC2) 등, 또는 이들의 조합을 포함하며, 이들은 탈이온수(DIW)에서 추가로 희석될 수 있다. 예를 들어, 제2 습식 에천트는 1:X:Y((NH4OH 또는 HCl):H2O2:DIW)의 비를 갖는 H2O2 및 DIW와 NH4OH 또는 HCl의 혼합물일 수 있으며, 여기서 X는 약 1 내지 약 10의 범위이고, Y는 약 5 내지 약 120의 범위이다. 2단계 습식 에칭 공정의 공정 시간은 약 30초 내지 약 600초의 범위일 수 있고, 2단계 습식 에칭 공정의 공정 온도는 약 23℃(예를 들어, 실온) 내지 약 67℃의 범위일 수 있다. 2단계 습식 에칭 공정은 일부 예시들에서 인시츄로 수행될 수 있다. 상이한 공정 파라미터들을 갖는 다른 에칭 공정들이 사용될 수 있다.
BARC(54)는 배리어층(52) 및 접착층(50)의 일부분의 제거 동안 마스크로서 작용한다. 따라서, 예컨대, 제2 도전성 피처 접착층(50b) 및 제2 도전성 피처 배리어층(52b)의 최상면들은 제2 ILD(40)의 최상면으로부터 제3 치수(D3) 및/또는 개구(44)의 바닥면으로부터 제4 치수(D4)에 있을 수 있다. 또한, 제2 도전성 피처 접착층(50b) 및 제2 도전성 피처 배리어층(52b)의 최상면들은 제4 치수(D4) 대 제2 치수(D2)의 비를 갖는 위치에 있을 수 있다.
도 7은 BARC(54)의 제거를 도시한다. BARC(54)는 산소(O2), 수소(H2), 질소(N2), 또는 다른 가스를 포함하는 플라즈마를 사용할 수 있는 것과 같은 애싱 공정에 의해 제거될 수 있다. BARC(54)의 제거 후, 잔류물 및/또는 부산물이 각각의 개구(42, 44, 46) 내부의 도전성 피처 배리어층(52a, 52b, 52c)의 윗면들 상에 있을 수 있다. 잔류물 및/또는 부산물은 프로파일(60)을 가지며, 그 일례가 도 20에 예시되어 있고 나중에 추가로 설명된다. 부산물 및/또는 잔류물은 도 6에서의 배리어층(52) 및 접착층(50)의 일부분의 제거(예를 들어, 에칭) 및/또는 도 7에서의 BARC(54)의 제거로부터 초래될 수 있다. 부산물 및/또는 잔류물은 도전성 피처 배리어층(52a, 52b, 52c)의 윗 부분에서의 각각의 개구(42, 44, 46)의 치수를 감소시키고 및/또는 수축시킬 수 있다. 제1, 제2, 및 제3 도전성 피처 접착층(50a, 50b, 50c) 및 제1, 제2, 및 제3 도전성 피처 배리어층(52a, 52b, 52c)은 도 20과 관련하여 후술하는 바와 같이 다양한 치수를 가질 수 있다.
도 8은 개질된 도전성 피처 배리어층(52a', 52b', 52c') 및 개질된 도전성 피처 접착층(50a', 50b', 50c')을 각각 생성하기 위한 도전성 피처 배리어층(52a, 52b, 52c) 및 도전성 피처 접착층(50a, 50b, 50c)의 후속 풀링 백(예컨대, 에칭)을 도시한다. 에칭은 도전성 피처 배리어층(52a, 52b, 52c)의 윗 부분의 표면들로부터 부산물 및/또는 잔류물을 제거하고, 도전성 피처 배리어층(52a, 52b, 52c) 및 도전성 피처 접착층(50a, 50b, 50c)의 윗 부분을 (예컨대, 테이퍼링에 의해) 평활화할 수 있다. 개질된 도전성 피처 배리어층(52a', 52b', 52c') 및 개질된 도전성 피처 접착층(50a', 50b', 50c')의 평활화는 프로파일(62)을 가지며, 그 예시는 도 21에 도시되고 있고 도 21과 관련하여 나중에 추가로 설명된다. 에칭은 에칭의 지속기간을 증가시키는 것 등에 의해서, (예를 들어, 각각의 높이를 감소시킴으로써) 개질된 도전성 피처 배리어층(52a', 52b', 52c') 및 개질된 도전성 피처 접착층(50a', 50b', 50c')의 높이를 추가로 조정할 수 있다. 제1, 제2, 및 제3 개질된 도전성 피처 접착층(50a', 50b', 50c') 및 제1, 제2, 및 제3 개질된 도전성 피처 배리어층(52a', 52b', 52c')은 도 21과 관련하여 후술하는 바와 같이 다양한 치수를 가질 수 있다.에칭은 예컨대, 습식 에칭 공정에 의해 행해질 수 있다.
일부 예시들에서, 에칭은 2단계 습식 에칭 공정을 포함한다. 제1 습식 에천트로 전처리가 수행된다. 예시적인 제1 습식 에천트는 희석된 불화수소(dHF)산을 포함한다. 일부 예시들에서, dHF는 약 1의 불화수소(HF)산 대 100의 탈이온수(DIW)(1:100)에서부터 약 1의 HF산 대 500의 DIW(1:500)의 범위와 같이, 약 1의 HF산 대 100 이상의 DIW(1:≥100, HF:DIW)로 희석될 수 있다. 이어서, 제2 습식 에천트로 제2 단계 에칭이 수행된다. 예시적인 제2 습식 에천트는 불화수소(HF)산, 과산화수소(H2O2), 염화수소(HCl)산 등, 또는 이들의 조합을 포함한다. 일부 예시들에서, 제2 습식 에천트는 약 1의 에천트 대 5의 DIW(1:5)에서부터 약 1의 에천트 대 30의 DIW(1:30)의 범위와 같이, 약 1의 에천트 대 30 미만의 DIW(1:≤30)로 희석될 수 있다. 2단계 습식 에칭 공정의 공정 시간은 약 30초 내지 약 300초의 범위일 수 있고, 2단계 습식 에칭 공정의 공정 온도는 약 23℃(예를 들어, 실온) 내지 약 67℃의 범위일 수 있다.
전처리는 약 2㎚/분 내지 약 5㎚/분의 범위의 비율로 도전성 피처 배리어층(52a, 52b, 52c) 상의 부산물 및/또는 잔류물을 에칭할 수 있고, 약 0.3㎚/분 내지 약 0.6㎚/분의 범위의 비율로 도전성 피처 배리어층(52a, 52b, 52c)을 에칭할 수 있다. 전처리의 에칭의 선택비(예를 들어, 부산물 및/또는 잔류물의 에칭율 대 도전성 피처 배리어층(52a, 52b, 52c)의 에칭율의 비)는 약 2 내지 약 12의 범위일 수 있다. 제2 단계는 약 0.5㎚/분 내지 약 1㎚/분의 범위의 비율로 도전성 피처 배리어층(52a, 52b, 52c) 상의 부산물 및/또는 잔류물을 에칭할 수 있고, 약 0.3㎚/분 내지 약 1.5㎚/분의 범위의 비율로 도전성 피처 배리어층(52a, 52b, 52c)을 에칭할 수 있다. 제2 단계의 에칭의 선택비(예를 들어, 부산물 및/또는 잔류물의 에칭율 대 도전성 피처 배리어층(52a, 52b, 52c)의 에칭율의 비)는 약 0.3 내지 약 3의 범위일 수 있다.
2단계 습식 에칭 공정은 일부 예시들에서 인시츄로 수행될 수 있다. 예시적인 2단계 습식 에칭 공정은 예를 들어, 게이트 스택(32)에 손상을 끼지지 않고서 수행될 수 있다. 상이한 공정 파라미터들을 갖는 다른 에칭 공정들이 사용될 수 있다.
도 9는 개구(42, 44, 46) 내 및 개질된 도전성 피처 배리어층(52a', 52b', 52c') 상에서의 도전성 물질(66)의 형성을 도시한다. 도전성 물질(66)은 텅스텐, 구리, 알루미늄, 금, 은, 이들의 합금 등, 또는 이들의 조합과 같은, 금속일 수 있거나 또는 금속을 포함할 수 있으며, CVD, ALD, PVD, 또는 다른 퇴적 기술에 의해 퇴적될 수 있다. 예컨대, 개질된 도전성 배리어층(52a', 52b', 52c')을 형성하기 위한 평활화는 부산물 및/또는 잔류물이 존재할 때와 비교하여 개구(42, 44, 46)의 윗 부분에서 더 큰 치수를 허용할 수 있고, (이에 따라, 수축을 형성하며), 더 큰 치수는 개구(42, 44, 46) 내의 도전성 물질(66)에서 보이드를 갖지 않고서 도전성 물질(66)이 개구(42, 44, 46)를 보다 양호하게 채울 수 있게 할 수 있다.
도 10은 과잉의 도전성 물질(66)의 제거를 도시한다. 도전성 물질(66)이 퇴적된 후, 제2 ILD(40)의 최상면 상의 과잉의 도전성 물질(66)은 예를 들어, CMP와 같은, 평탄화 공정을 사용함으로써 제거될 수 있다. 평탄화 공정은 제2 ILD(40)의 최상면 위로부터 과잉의 도전성 물질(66)을 제거할 수 있다. 이것은 개구(42, 44, 46) 내에서 도전성 물질(66)을 포함하는 도전성 피처(70, 72, 74)를 각각 형성한다. 도전성 피처(70, 72, 74) 및 제2 ILD(40)의 최상면들은 동일 평면 상에 있을 수 있다. 따라서, 도전성 물질(66)을 포함한 도전성 피처(70, 72, 74), 배리어층(52a', 52b', 52c'), 및 접착층(50a', 50b', 50c')(및 가능하게는, 실리사이드 영역들)이 대응하는 게이트 스택(32) 및/또는 소스/드레인 영역(36)에 대해 형성될 수 있다. 도 10으로부터 명백한 바와 같이, 배리어층(52) 및 접착층(50)을 풀링 백함으로써 이들의 최상면들에서 도전성 피처(70, 72, 74)의 도전성 물질(66)의 폭이 증가하는데, 이는 각각의 후속 도전성 피처가 접촉할 수 있는 표면적을 증가시킨다.
앞서 도시된 바와 같이, 일부 실시예들의 양태들은 FEOL(Front End Of the Line) 및 MEOL(Middle End Of the Line) 공정들에 적용될 수 있다. 도전성 피처(70, 72, 74)는, 도전성 피처(70, 72, 74)를 형성하였던 공정들을 비롯하여, FEOL 및/또는 MEOL의 다양한 실시예들의 양태들을 구현할 수 있다. FEOL 및/또는 MEOL 공정들에서 형성된 다른 도전성 피처들이 마찬가지로 일부 실시예들에 따른 양태를 병합할 수 있다. 예를 들어, 일부 실시예들에 따라 대체 게이트 스택이 형성될 수 있다. 예를 들어, 대체 게이트 스택의 경우, 더미 게이트 스택이 제거되었던 곳에 형성된, 유전체층 및/또는 일함수 조정층(들)과 같은, 컨포멀층들은 접착층(50) 및 배리어층(52)에 대해 도 4 내지 도 8과 관련하여 도시되고 상술된 것과 동일하거나 또는 유사한 공정들에 따라 퇴적되고 풀링 백될 수 있으며, 게이트 전극이 도 9 내지 도 10에서의 도전성 물질(66)처럼 퇴적되고 형성될 수 있다.
도 11은 금속화 유전체(intermetallization dielectric; IMD)(80)의 형성을 도시한다. IMD(80)는 예를 들어, 에칭 정지층(ESL) 및 로우 k(low-k) 유전체층과 같은 주요 유전체층을 포함할 수 있다. IMD(80)는 제2 ILD(40) 및 도전성 피처(70, 72, 74) 위에 퇴적된다. 예를 들어, 에칭 정지층은 제2 ILD(40) 및 도전성 피처(70, 72, 74) 위에 퇴적될 수 있다. 그 후, 예를 들어, 주요 유전체층이 에칭 정지층 위에 퇴적된다. IMD(80)의 주요 유전체층과 에칭 정지층은 동일한 물질들일 수 있거나 또는 이들을 포함할 수 있고, 제1 ILD(38)와 관련하여 상술한 것과 동일한 기술을 사용하여 퇴적될 수 있다. IMD(80)는 퇴적된 후에, CMP에 의해 평탄화될 수 있다.
도 12는 IMD(80)를 관통하는 개구(82, 84, 86)의 형성을 도시한다. 개구(82, 84, 86)는 각각 도전성 피처(70, 72, 74)를 노출시키는데, 이는 도전성 피처(70, 72, 74) 각각에 이르는 도전성 피처를 형성하기 위한 것이다. 개구(82, 84, 86)는, 예를 들어, 적절한 포토리소그래피 및 에칭 공정들을 사용하여 형성될 수 있다. 예로서, 개구(84)는 약 10㎚ 내지 약 40㎚의 범위의 제5 치수(D5)(예를 들어, 폭)를 가질 수 있고, 약 30㎚ 내지 약 50㎚의 범위의 제6 치수(D6)(예를 들어, 높이)를 가질 수 있다. 개구(84)의 종횡비(예를 들어, 제6 치수(D6) 대 제5 치수(D5)의 비)는 약 1 내지 약 5의 범위일 수 있다.
도 13은 개구(82, 84, 86)에서 컨포멀하게 접착층(90)을 형성하고 접착층(90) 상에 배리어층(92)을 형성하는 것을 도시한다. 접착층(90)은 노출된 도전성 피처(70, 72, 74), IMD(80)의 측벽들 상과, IMD(80)의 최상면 상에서와 같이, 개구(82, 84, 86) 내에서 컨포멀하게 퇴적된다. 배리어층(92)은 접착층(90) 상에 컨포멀하게 퇴적된다. 접착층(90)은, 예를 들어, 티타늄, 코발트, 니켈 등 또는 이들의 조합일 수 있거나 또는 이들을 포함할 수 있으며, ALD, CVD, 또는 다른 퇴적 기술에 의해 퇴적될 수 있다. 배리어층(92)은 티타늄 질화물, 티타늄 산화물, 탄탈륨 질화물, 탄탈륨 산화물 등, 또는 이들의 조합일 수 있거나 또는 이들을 포함할 수 있으며, ALD, CVD, 또는 다른 퇴적 기술에 의해 퇴적될 수 있다. 접착층(90)과 배리어층(92)은 후술하는 바와 같이 이후의 추가적인 처리에서 다양한 두께를 가질 수 있다.
도 14는 개구(82, 84, 86) 내 배리어층(92) 위에서의 BARC(94)의 형성을 도시한다. BARC(94)는 예를 들어, 스핀 코팅 또는 다른 퇴적 기술에 의해 퇴적된 유기 물질 또는 다른 물질일 수 있다. BARC(94)는 초기에 개구(82, 84, 86) 내에 그리고 IMD(80)의 최상면 위 및/또는 배리어층(92)의 최상면들 위의 레벨까지 퇴적될 수 있다. BARC(94)는 이어서 IMD(80)의 최상면 아래에 최상면을 갖도록 에치백(etched back)될 수 있다. BARC(94)는 IMD(80)의 최상면 아래로 제7 치수(D7)까지 에치백될 수 있으며, 제7 치수(D7)는 약 10㎚ 내지 약 20㎚의 범위일 수 있다. 또한, 제8 치수(D8)는 개구(84)의 바닥면(예를 들어, 개구(84)가 형성된 도전성 피처(72)의 최상면)으로부터 BARC(94)의 최상면까지이다. 제8 치수(D8) 대 제6 치수(D6)의 비는 예컨대, 약 0.5 미만과 같이 1 미만이고, 보다 구체적으로는, 약 0.2 내지 약 0.5의 범위이다.
에치백은 건식(예를 들어, 플라즈마) 에칭 공정일 수 있거나 또는 이를 포함할 수 있다. 플라즈마 에칭 공정은 RIE, NBE, ICP 에칭 등, 또는 이들의 조합을 포함할 수 있다. 플라즈마 에칭 공정을 위해 사용될 수 있는 예시적인 에천트 가스는 아르곤(Ar) 가스 또는 다른 에천트 가스를 포함한다. 플라즈마 에칭 공정은 도 5를 참조하여 상술된 바와 같을 수 있다.
도 15는 BARC(94)의 최상면들 위 및 개구(82, 84, 86)의 상부 영역들에서의 배리어층(92) 및 접착층(90)의 일부분들의 풀링 백(예를 들어, 에칭에 의한 제거)을 도시한다. BARC(94)의 최상면들 위 및 개구(82, 84, 86)의 상부 영역들에서의 배리어층(92) 및 접착층(90)의 일부분들을 제거함으로써, 제1, 제2, 및 제3 도전성 피처 접착층(90a, 90b, 90c) 및 제1, 제2, 및 제3 도전성 피처 배리어층(92a, 92b, 92c)이 각각 제1, 제2, 및 제3 개구(82, 84, 86)에 형성된다.
배리어층(92) 및 접착층(90)의 일부분은 에칭 공정을 사용하여 제거될 수 있다. 에칭 공정은 도 6과 관련하여 상술한 전처리 및 제2 단계 에칭과 같은, 2단계 습식 에칭 공정을 포함할 수 있다. BARC(94)는 배리어층(92) 및 접착층(90)의 일부분의 제거 동안 마스크로서 작용한다. 따라서, 예컨대, 제2 도전성 피처 접착층(90b) 및 제2 도전성 피처 배리어층(92b)의 최상면들은 IMD(80)의 최상면으로부터 제7 치수(D7) 및/또는 개구(84)의 바닥면으로부터 제8 치수(D8)에 있을 수 있다. 또한, 제2 도전성 피처 접착층(90b) 및 제2 도전성 피처 배리어층(92b)의 최상면들은 제8 치수(D8) 대 제6 치수(D6)의 비를 갖는 위치에 있을 수 있다.
도 16은 BARC(94)의 제거를 도시한다. BARC(94)는 도 7과 관련하여 상술한 바와 같은 애싱 공정에 의해 제거될 수 있다. BARC(94)의 제거 후, 잔류물 및/또는 부산물이 각각의 개구(82, 84, 86) 내부의 도전성 피처 배리어층(92a, 92b, 92c)의 윗면들 상에 있을 수 있다. 잔류물 및/또는 부산물은 프로파일(60)을 가지며, 그 일례가 도 20에 예시되어 있고 나중에 추가로 설명된다. 부산물 및/또는 잔류물은 도 15에서의 배리어층(92) 및 접착층(90)의 일부분의 제거(예를 들어, 에칭) 및/또는 도 16에서의 BARC(94)의 제거로부터 초래될 수 있다. 부산물 및/또는 잔류물은 도전성 피처 배리어층(92a, 92b, 92c)의 윗 부분에서의 각각의 개구(82, 84, 86)의 치수를 감소시키고 및/또는 수축시킬 수 있다. 제1, 제2, 및 제3 도전성 피처 접착층(90a, 90b, 90c) 및 제1, 제2, 및 제3 도전성 피처 배리어층(92a, 92b, 92c)은 도 20과 관련하여 후술하는 바와 같이 다양한 치수를 가질 수 있다.
도 17은 개질된 도전성 피처 배리어층(92a', 92b', 92c') 및 개질된 도전성 피처 접착층(90a', 90b', 90c')을 각각 생성하기 위한 도전성 피처 배리어층(92a, 92b, 92c) 및 도전성 피처 접착층(90a, 90b, 90c)의 후속 풀링 백(예컨대, 에칭)을 도시한다. 에칭은 도전성 피처 배리어층(92a, 92b, 92c)의 윗 부분의 표면들로부터 부산물 및/또는 잔류물을 제거하고, 도전성 피처 배리어층(92a, 92b, 92c) 및 도전성 피처 접착층(90a, 90b, 90c)의 윗 부분을 (예컨대, 테이퍼링에 의해) 평활화할 수 있다. 개질된 도전성 피처 배리어층(92a', 92b', 92c') 및 개질된 도전성 피처 접착층(90a', 90b', 90c')의 평활화는 프로파일(62)을 가지며, 그 예시는 도 21에 도시되고 있고 도 21과 관련하여 나중에 추가로 설명된다. 에칭은 에칭의 지속기간을 증가시키는 것 등에 의해서, (예를 들어, 각각의 높이를 감소시킴으로써) 개질된 도전성 피처 배리어층(92a', 92b', 92c') 및 개질된 도전성 피처 접착층(90a', 90b', 90c')의 높이를 추가로 조정할 수 있다. 제1, 제2, 및 제3 개질된 도전성 피처 접착층(90a', 90b', 90c') 및 제1, 제2, 및 제3 개질된 도전성 피처 배리어층(92a', 92b', 92c')은 도 21과 관련하여 후술하는 바와 같이 다양한 치수를 가질 수 있다. 에칭은 예를 들어, 도 8과 관련하여 상술한 바와 같은 습식 에칭 공정에 의해 행해질 수 있다.
도 18은 개구(82, 84, 86) 내 및 개질된 도전성 피처 배리어층(92a', 92b', 92c') 상에서의 도전성 물질(96)의 형성을 도시한다. 도전성 물질(96)은 텅스텐, 구리, 알루미늄, 금, 은, 이들의 합금 등, 또는 이들의 조합과 같은, 금속일 수 있거나 또는 금속을 포함할 수 있으며, CVD, ALD, PVD, 또는 다른 퇴적 기술에 의해 퇴적될 수 있다. 예컨대, 개질된 도전성 배리어층(92a', 92b', 92c')을 형성하기 위한 평활화는 부산물 및/또는 잔류물이 존재할 때와 비교하여 개구(82, 84, 86)의 윗 부분에서 더 큰 치수를 허용할 수 있고, (이에 따라, 수축을 형성하며), 더 큰 치수는 개구(82, 84, 86) 내의 도전성 물질(96)에서 보이드를 갖지 않고서 도전성 물질(96)이 개구(82, 84, 86)를 보다 양호하게 채울 수 있게 할 수 있다.
도 19는 과잉의 도전성 물질(96)의 제거를 도시한다. 도전성 물질(96)이 퇴적된 후, 제2 ILD(40)의 최상면 상의 과잉의 도전성 물질(96)은 예를 들어, CMP와 같은, 평탄화 공정을 사용함으로써 제거될 수 있다. 평탄화 공정은 IMD(80)의 최상면 위로부터 과잉의 도전성 물질(96)을 제거할 수 있다. 이것은 개구(82, 84, 86) 내에서 도전성 물질(96)을 포함하는 도전성 피처(100, 102, 104)를 각각 형성한다. 도전성 피처(100, 102, 104) 및 제2 ILD(40)의 최상면들은 동일 평면 상에 있을 수 있다. 따라서, 도전성 물질(96)을 포함한 도전성 피처(100, 102, 104), 배리어층(92a', 92b', 92c'), 및 접착층(90a', 90b', 90c')이 대응하는 도전성 피처(70, 72, 74)에 대해 형성될 수 있다.
앞서 도시된 바와 같이, 일부 실시예들의 양태들은 BEOL(Back End Of the Line) 공정들에 적용될 수 있다. 도전성 피처(100, 102, 104)는, 도전성 피처(100, 102, 104)를 형성하였던 공정들을 비롯하여, BEOL 처리로 다양한 실시예들의 양태들을 구현할 수 있다. BEOL 공정들에서 형성된 다른 도전성 피처들이 마찬가지로 일부 실시예들에 따른 양태를 병합할 수 있다.
도 20은 일부 실시예들에 따른 유전층(110)을 관통하는 개구(118) 내의 접착층(112) 및 배리어층(114)의 프로파일(60)을 도시한다. 프로파일(60)은 도 7 및 도 16에서 도시된 바와 같은 처리 동안에 형성될 수 있다. 부산물 및/또는 잔류물(116)이 개구(118) 내부의 배리어층(114)의 윗면들 상에 형성된다. 이 부산물 및/또는 잔류물(116)은 도 6과 도 15에서와 같이, 접착층(112)과 배리어층(114)을 에칭한 결과로서, 그리고 도 7과 도 16에서와 같이 BARC를 제거한 결과로서 형성될 수 있다. 부산물 및/또는 잔류물(116)은 개구(118)를 수축시키는데, 예를 들어, 부산물 및/또는 잔류물(116)은 개구(118)의 치수를 감소시킨다. 예를 들어, 개구(118)는 부산물 및/또는 잔류물(116)의 존재로 인해 배리어층(114)의 상부 영역에서 수축된 폭(WC)을 갖는다.
접착층(112)은 약 0.5㎚ 내지 약 1㎚의 범위일 수 있는 제9 치수(D9)(예를 들어, 접착층(112)의 최상부에서의 두께)를 가지며, 약 1㎚ 내지 약 2㎚의 범위일 수 있는 제10 치수(D10)(예를 들어, 접착층(112)의 바닥에서의 두께)를 갖는다. 제9 치수(D9)와 제10 치수(D10)는 각 치수 위치에서의 접착층(112)의 퇴적시의 두께일 수 있다. 제10 치수(D10) 대 제9 치수(D9)의 비는 약 1 내지 약 4의 범위일 수 있다. 개구(118)의 측벽을 따른 접착층(112)의 두께는 접착층(112)의 최상부에서의 두께(예를 들어, 제9 치수(D9))로부터 접착층(112)의 바닥에서의 두께(예를 들어, 제10 치수(D10))까지 10㎚의 깊이 당 0.4㎚의 비율로 감소할 수 있다.
배리어층(114)은 약 1.5㎚ 내지 약 2.5㎚의 범위일 수 있는 제11 치수(D11)(예를 들어, 배리어층(114)의 최상부에서의 두께)를 가지며, 약 1.5㎚ 내지 약 2.5㎚의 범위일 수 있는 제12 치수(D12)(예를 들어, 배리어층(114)의 바닥에서의 두께)를 갖는다. 제11 치수(D11)와 제12 치수(D12)는 각 치수 위치에서의 배리어층(114)의 퇴적시의 두께일 수 있다. 제12 치수(D12) 대 제11 치수(D11)의 비는 약 1 내지 약 1.7의 범위일 수 있다. 접착층(112)의 수직 부분을 따른 배리어층(114)의 두께는 배리어층(114)의 최상부에서의 두께(예를 들어, 제11 치수(D11))로부터 배리어층(114)의 바닥에서의 두께(예를 들어, 제12 치수(D12))까지 10㎚의 깊이 당 0.2㎚의 비율로 감소할 수 있다.
접착층(112)과 배리어층(114)은 유전체층(110)의 최상면으로부터 제13 치수(D13)에서, 그리고 개구(118)의 바닥면으로부터 제14 치수(D14)에서 각각 최상면을 갖는다. 제13 치수(D13)는 도 6에서의 제3 치수(D3) 및 도 14에서의 제7 치수(D7)에 대응한다. 제14 치수(D14)는 도 6에서의 제4 치수(D4) 및 도 14에서의 제8 치수(D8)에 대응한다.
도 21은 일부 실시예들에 따른 유전층(110)을 관통하는 개구(118) 내의 개질된 접착층(112') 및 개질된 배리어층(114')의 프로파일(62)을 도시한다. 프로파일(62)은 도 8 및 도 17에서 도시된 바와 같은 처리 동안에 형성될 수 있다. 도 8 및 도 17과 관련하여 설명된 에칭은 배리어층(114)의 윗면들로부터 부산물 및/또는 잔류물(116)을 제거한다. 또한, 에칭은 배리어층(114)과 접착층(112)을 에칭하여 배리어층(114)과 접착층(112)을 평활화하거나 또는 테이퍼링(taper) 할 수 있는데, 이는 개질된 접착층(112')과 개질된 배리어층(114')을 초래할 수 있다. 따라서, 이러한 에칭 공정은 적어도 부분적으로 부산물 및/또는 잔류물(116)에 의해 야기된 개구(118)의 수축을 제거할 수 있다. 예를 들어, 도 21에서의 개구(118)는 도 20에서의 수축된 폭(WC)보다 더 큰 상부 폭(WU)을 개질된 배리어층(114')의 상부 영역에서 갖는다. 예를 들어, 상부 폭(WU)은 수축된 폭(WC)보다 약 1㎚ 내지 약 5㎚의 범위만큼 더 클 수 있다.
개질된 배리어층(114')은 약 0.2㎚ 내지 약 1.2㎚의 범위일 수 있는 제15 치수(D15)(예를 들어, 개질된 배리어층(114')의 최상부에서의 두께)를 가지며, 약 1.5㎚ 내지 약 2.5㎚의 범위일 수 있는 제16 치수(D16)(예를 들어, 개질된 배리어층(114')의 바닥에서의 두께)를 갖는다. 제16 치수(D16) 대 제15 치수(D15)의 비는 약 1 내지 약 10의 범위일 수 있다. 개질된 접착층(112')의 수직 부분을 따른 개질된 배리어층(114')의 두께는 개질된 배리어층(114')의 최상부에서의 두께(예를 들어, 제15 치수(D15))로부터 개질된 배리어층(114')의 바닥에서의 두께(예를 들어, 제16 치수(D16))까지 10㎚의 깊이 당 0.5㎚의 비율로 감소할 수 있다.
제15 치수(D15) 대 제11 치수(D11)의 비(예를 들어, 에칭 전후의 최상부에서의 배리어층(114, 114')의 두께의 비)는 약 0.1 내지 약 0.8의 범위와 같이, 1미만일 수 있다. 제16 치수(D16) 대 제12 치수(D12)의 비(예를 들어, 에칭 전후의 바닥에서의 배리어층(114, 114')의 두께의 비)는 약 0.6 내지 약 0.9의 범위와 같이, 1미만일 수 있다. 일부 예시들에서, 에칭에 의한 배리어층(114)의 시닝율(rate of the thinning)은 분 당 약 0.3㎚ 내지 약 1.5㎚의 비율일 수 있다. 제12 치수(D12) 대 제11 치수(D11)의 비(RD12:D11)와 제16 치수(D16) 대 제15 치수(D15)의 비(RD16:D15) 간의 변동(예를 들어, RD12:D11 빼기 RD16:D15)은 약 0.9 내지 약 1의 범위일 수 있다.
개질된 접착층(112')은 대체로 횡측으로 에칭되지 않는데, 그 이유는 많은 예시들에서, 개질된 배리어층(114')이 개질된 접착층(112') 상에 남아있기 때문이다. 그러나, 일부 예시들에서, 개질된 접착층(112')은 개질된 배리어층(114')이 제거되는 곳에서 횡측으로 에칭될 수 있다. 이 예시들에서, 개질된 접착층(112')은 개질된 배리어층(114')과 관련하여 전술한 바와 같이 변경되는 두께를 가질 수 있다.
개질된 배리어층(114')은 유전체층(110)의 최상면으로부터 제17 치수(D17)에서, 그리고 개구(118)의 바닥면으로부터 제18 치수(D18)에서 최상면을 갖는다. 개질된 접착층(112')은 유전체층(110)의 최상면으로부터 제19 치수(D19)에서, 그리고 개구(118)의 바닥면으로부터 제20 치수(D20)에서 최상면을 갖는다. 에칭은 배리어층(114)과 접착층(112)의 높이(예를 들어, 제14 치수(D14))가, 예를 들어, 각각 제18 치수(D18)와 제20 치수(D20)로 감소되게 할 수 있다. 일부 예시들에서, 배리어층(114)의 최상면에서 배리어층(114)이 수직 및 측면 에칭을 받기 때문에 배리어층(114)의 높이는 접착층(112)의 높이보다 더 많이 감소되는 반면에, 접착층(112)은, 배리어층(114)이 접착층(112)으로부터 횡측으로 제거(이것은, 이후에 접착층이 횡측 에칭을 받게 할 수 있다)될 때까지 대체로 수직 에칭만 받는다.
제14 치수(D14)와 제18 치수(D18) 간의 차이(예를 들어, 제14 치수(D14) 빼기 제18 치수(D18)), 및 반대로, 제17 치수(D17)와 제13 치수(D13) 간의 차이(예를 들어, 제17 치수(D17) 빼기 제13 치수(D13))는 약 1㎚ 내지 약 5㎚의 범위일 수 있다. 마찬가지로, 제14 치수(D14)와 제20 치수(D20) 간의 차이(예를 들어, 제14 치수(D14) 빼기 제20 치수(D20)), 및 반대로, 제19 치수(D19)와 제13 치수(D13) 간의 차이(예를 들어, 제19 치수(D19) 빼기 제13 치수(D13))는 약 1㎚ 내지 약 5㎚의 범위일 수 있다. 제20 치수(D20)와 제18 치수(D18) 간의 차이(예를 들어, 제20 치수(D20) 빼기 제18 치수(D18)), 및 반대로, 제17 치수(D17)와 제19 치수(D19) 간의 차이(예를 들어, 제17 치수(D17) 빼기 제19 치수(D19))는 약 1㎚ 내지 약 5㎚의 범위일 수 있다.
본 발명개시의 양태들을 본 발명분야의 당업자가 보다 잘 이해할 수 있도록 앞에서는 여러 개의 실시예들의 특징들을 약술해왔다. 본 발명분야의 당업자는 여기서 소개한 실시예들의 동일한 목적들을 수행하거나 및/또는 동일한 장점들을 달성하기 위한 다른 공정들 및 구조물들을 설계하거나 또는 수정하기 위한 기초로서 본 발명개시를 자신들이 손쉽게 이용할 수 있다는 것을 알아야 한다. 본 발명분야의 당업자는 또한 이와 같은 등가적 구성들은 본 발명개시의 사상과 범위를 이탈하지 않는다는 것과, 본 발명개시의 사상과 범위를 이탈하지 않고서 당업자가 다양한 변경들, 대체들, 및 개조들을 본 발명에서 행할 수 있다는 것을 자각해야 한다.
실시예들
실시예 1. 방법에 있어서,
측벽을 따라 배리어층을 형성하는 단계;
상기 측벽을 따른 상기 배리어층의 일부분을 에치백(etching back)하는 단계;
상기 배리어층의 일부분을 에치백한 후에, 상기 측벽을 따른 상기 배리어층의 윗 부분을 평활화(smoothing)하는 단계; 및
상기 배리어층의 평활화된 윗 부분 위에 그리고 상기 배리어층을 따라 도전성 물질을 형성하는 단계를 포함하는 방법.
실시예 2. 실시예 1에 있어서, 상기 측벽을 따라 접착층을 형성하는 단계를 더 포함하며, 상기 접착층은 상기 측벽과 상기 배리어층 사이에 배치된 것인 방법.
실시예 3. 실시예 1에 있어서, 상기 배리어층의 일부분을 에치백하는 단계는,
상기 배리어층을 따라 마스킹 물질을 형성하는 단계;
상기 측벽을 따라 그리고 상기 마스킹 물질 위에서 상기 배리어층의 일부분을 에칭하는 단계; 및
상기 마스킹 물질을 제거하는 단계를 포함한 것인 방법.
실시예 4. 실시예 1에 있어서, 상기 측벽을 따른 상기 배리어층의 윗 부분을 평활화하는 단계는 상기 배리어층의 일부분을 에치백할 때 생긴 잔류물, 부산물, 또는 이들의 조합을 제거하는 것인 방법.
실시예 5. 실시예 1에 있어서, 상기 측벽을 따른 상기 배리어층의 윗 부분을 평활화하는 단계는 상기 측벽을 따른 상기 배리어층의 윗 부분의 두께를 감소시키는 것인 방법.
실시예 6. 실시예 1에 있어서, 상기 배리어층의 윗 부분을 평활화하는 단계는 습식 에칭 공정을 이용하는 단계를 포함한 것인 방법.
실시예 7. 실시예 1에 있어서, 상기 배리어층의 윗 부분을 평활화하는 단계는,
탈이온수에 희석된 제1 에천트(1의 제1 에천트 대 100 이상의 탈이온수의 비율)로 상기 배리어층의 처리를 수행하는 단계; 및
상기 배리어층의 처리를 수행한 후에, 탈이온수에 희석된 제2 에천트(1의 제2 에천트 대 30 미만의 탈이온수의 비율)로 상기 배리어층을 에칭하는 단계를 포함한 것인 방법.
실시예 8. 구조물에 있어서,
측벽을 갖는 유전체층;
상기 측벽을 따라 있는 배리어층 - 상기 배리어층의 윗면은 상기 유전체층의 최상면 아래에 있고, 상기 배리어층의 윗 부분의 두께는 상기 배리어층의 아랫 부분의 두께보다 작음 -; 및
상기 배리어층의 윗면 위에 그리고 상기 배리어층을 따라 있는 도전성 물질 - 상기 도전성 물질은 상기 유전체층의 최상면과 동일 평면 상에 있는 최상면을 가짐 - 을 포함하는 구조물.
실시예 9. 실시예 8에 있어서, 상기 배리어층의 윗 부분과 상기 도전성 물질 사이에는 잔류물과 부산물이 없는 것인 구조물.
실시예 10. 실시예 8에 있어서, 상기 도전성 물질 내에는 보이드(void)가 없는 것인 구조물.
실시예 11. 실시예 8에 있어서, 상기 도전성 물질은 상기 배리어층의 윗면 위의 상기 유전체층의 측벽에 접해 있는 것인 구조물.
실시예 12. 실시예 8에 있어서, 상기 상기 측벽을 따라 있는 접착층을 더 포함하며, 상기 접착층은 상기 배리어층과 상기 유전체층의 측벽 사이에 배치된 것인 구조물.
실시예 13. 실시예 8에 있어서, 상기 유전체층은 층간 유전체(interlayer dielectric; ILD)이고, 상기 도전성 물질과 상기 배리어층은 적어도 반도체 기판 상의 소스/드레인 영역에 이르는 도전성 피처의 일부분이며, 상기 ILD는 상기 반도체 기판 위에 배치된 것인 구조물.
실시예 14. 실시예 8에 있어서, 상기 유전체층은 금속화 유전체(intermetallization dielectric; IMD)이며, 상기 도전성 물질과 상기 배리어층은 적어도 상기 IMD 내의 도전성 피처의 일부분인 것인 구조물.
실시예 15. 방법에 있어서,
반도체 기판 위에 유전체층을 형성하는 단계;
상기 유전체층을 관통하여 개구를 형성하는 단계;
상기 개구 내에 배리어층을 컨포멀하게(conformally) 형성하는 단계;
상기 개구로부터 상기 배리어층의 제1 윗 부분을 제거하는 단계 - 상기 배리어층의 제1 윗 부분을 제거한 후 상기 배리어층의 남아있는 윗 부분은 상기 개구 내에 존재함 -;
상기 배리어층의 제1 윗 부분을 제거한 후, 상기 배리어층을 에칭하는 단계; 및
상기 개구 내의 상기 배리어층 상에 도전성 물질을 형성하는 단계를 포함하고, 상기 도전성 물질의 최상면은 상기 유전체층의 최상면과 동일 평면 상에 있고, 상기 도전성 물질은 상기 배리어층의 남아있는 윗 부분 위에 있는 일부분을 갖는 것인 방법.
실시예 16. 실시예 15에 있어서, 상기 개구로부터 상기 배리어층의 제1 윗 부분을 제거하는 단계는,
상기 배리어층 상에 그리고 상기 개구 내에 마스킹 물질을 형성하는 단계 - 상기 마스킹 물질은 상기 유전체층의 최상면 아래에 최상면을 가짐 -;
상기 마스킹 물질이 상기 개구 내에 있는 동안 상기 마스킹 물질의 최상면 위로부터 상기 배리어층의 제1 윗 부분을 제거하는 단계; 및
상기 마스킹 물질을 제거하는 단계를 포함하고, 상기 배리어층을 에칭하는 단계는, 상기 배리어층의 제1 윗 부분을 제거하는 단계, 상기 마스킹 물질을 제거하는 단계, 또는 이들의 조합으로부터 초래된 잔류물, 부산물, 또는 이들의 조합을 제거하는 단계를 포함한 것인 방법.
실시예 17. 실시예 15에 있어서, 상기 배리어층을 에칭하는 단계는 상기 배리어층의 남아있는 윗 부분의 두께를 감소시키는 것인 방법.
실시예 18. 실시예 15에 있어서, 상기 배리어층을 에칭하는 단계는 습식 에칭 공정을 이용하는 단계를 포함한 것인 방법.
실시예 19. 실시예 15에 있어서, 상기 배리어층을 에칭하는 단계는,
탈이온수에 희석된 제1 에천트(1의 제1 에천트 대 100 이상의 탈이온수의 비율)로 상기 배리어층의 처리를 수행하는 단계; 및
상기 배리어층의 처리를 수행한 후에, 탈이온수에 희석된 제2 에천트(1의 제2 에천트 대 30 미만의 탈이온수의 비율)로 상기 배리어층을 에칭하는 단계를 포함한 것인 방법.
실시예 20. 실시예 15에 있어서, 상기 개구 내에 접착층을 컨포멀하게 형성하는 단계를 더 포함하며, 상기 배리어층은 상기 개구 내의 상기 접착층 상에서 컨포멀하게 형성된 것인 방법.
Claims (10)
- 반도체 구조물을 형성하는 방법에 있어서,
유전체층의 측벽을 따라 배리어층을 형성하는 단계;
상기 측벽을 따른 상기 배리어층의 일부분을 에치백(etching back)하는 단계로서, 상기 배리어층의 일부분을 에치백하는 것은 상기 측벽의 윗 부분을 노출시키는 것인, 상기 측벽을 따른 상기 배리어층의 일부분을 에치백하는 단계;
상기 배리어층의 일부분을 에치백한 후에, 상기 측벽을 따른 상기 배리어층의 윗 부분을 평활화(smoothing)하는 단계; 및
상기 측벽의 윗 부분을 따라, 상기 배리어층의 평활화된 윗 부분 위에 그리고 상기 배리어층을 따라 도전성 물질을 형성하는 단계
를 포함하는 반도체 구조물 형성 방법. - 구조물에 있어서,
측벽을 갖는 유전체층;
상기 측벽을 따라 있는 배리어층 - 상기 배리어층의 윗면은 상기 유전체층의 최상면 아래에 있고, 상기 배리어층의 윗 부분의 두께는 상기 배리어층의 아랫 부분의 두께보다 작음 -; 및
상기 배리어층의 윗면 위에 그리고 상기 배리어층을 따라 있는 도전성 물질
을 포함하고, 상기 도전성 물질은 상기 유전체층의 최상면과 동일 평면 상에 있는 최상면을 갖는 것인 구조물. - 제2항에 있어서,
상기 배리어층의 윗 부분과 상기 도전성 물질 사이에는 잔류물과 부산물이 없는 것인 구조물. - 제2항에 있어서,
상기 도전성 물질 내에는 보이드(void)가 없는 것인 구조물. - 제2항에 있어서,
상기 도전성 물질은 상기 배리어층의 윗면 위의 상기 유전체층의 측벽에 접해 있는 것인 구조물. - 제2항에 있어서,
상기 상기 측벽을 따라 있는 접착층
을 더 포함하며, 상기 접착층은 상기 배리어층과 상기 유전체층의 측벽 사이에 배치된 것인 구조물. - 제2항에 있어서,
상기 유전체층은 층간 유전체(interlayer dielectric; ILD)이고, 상기 도전성 물질과 상기 배리어층은 적어도 반도체 기판 상의 소스/드레인 영역에 이르는 도전성 피처의 일부분이며, 상기 ILD는 상기 반도체 기판 위에 배치된 것인 구조물. - 제2항에 있어서,
상기 유전체층은 금속화 유전체(intermetallization dielectric; IMD)이며, 상기 도전성 물질과 상기 배리어층은 적어도 상기 IMD 내의 도전성 피처의 일부분인 것인 구조물. - 반도체 구조물을 형성하는 방법에 있어서,
반도체 기판 위에 유전체층을 형성하는 단계;
상기 유전체층을 관통하여 개구를 형성하는 단계;
상기 개구 내에 배리어층을 컨포멀하게(conformally) 형성하는 단계;
상기 개구로부터 상기 배리어층의 제1 윗 부분을 제거하는 단계 - 상기 배리어층의 제1 윗 부분을 제거한 후 상기 배리어층의 남아있는 윗 부분은 상기 개구 내에 존재함 -;
상기 배리어층의 제1 윗 부분을 제거한 후, 상기 배리어층을 에칭하는 단계; 및
상기 개구 내의 상기 배리어층 상에 도전성 물질을 형성하는 단계
를 포함하고, 상기 도전성 물질의 최상면은 상기 유전체층의 최상면과 동일 평면 상에 있고, 상기 도전성 물질은 상기 배리어층의 남아있는 윗 부분 위에 있는 일부분을 갖는 것인 반도체 구조물 형성 방법. - 제9항에 있어서,
상기 개구로부터 상기 배리어층의 제1 윗 부분을 제거하는 단계는,
상기 배리어층 상에 그리고 상기 개구 내에 마스킹 물질을 형성하는 단계 - 상기 마스킹 물질은 상기 유전체층의 최상면 아래에 최상면을 가짐 -;
상기 마스킹 물질이 상기 개구 내에 있는 동안 상기 마스킹 물질의 최상면 위로부터 상기 배리어층의 제1 윗 부분을 제거하는 단계; 및
상기 마스킹 물질을 제거하는 단계
를 포함하고,
상기 배리어층을 에칭하는 단계는, 상기 배리어층의 제1 윗 부분을 제거하는 단계, 상기 마스킹 물질을 제거하는 단계, 또는 이들의 조합으로부터 초래된 잔류물, 부산물, 또는 이들의 조합을 제거하는 단계를 포함한 것인 반도체 구조물 형성 방법.
Applications Claiming Priority (4)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201762592476P | 2017-11-30 | 2017-11-30 | |
US62/592,476 | 2017-11-30 | ||
US15/880,448 US10361120B2 (en) | 2017-11-30 | 2018-01-25 | Conductive feature formation and structure |
US15/880,448 | 2018-01-25 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20190064375A KR20190064375A (ko) | 2019-06-10 |
KR102066251B1 true KR102066251B1 (ko) | 2020-01-14 |
Family
ID=66632633
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020180040491A KR102066251B1 (ko) | 2017-11-30 | 2018-04-06 | 도전성 피처 형성 및 구조물 |
Country Status (4)
Country | Link |
---|---|
US (3) | US10361120B2 (ko) |
KR (1) | KR102066251B1 (ko) |
CN (1) | CN109860100B (ko) |
TW (1) | TWI679730B (ko) |
Families Citing this family (11)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP4414732A2 (en) * | 2017-11-07 | 2024-08-14 | Everspin Technologies, Inc. | Angled surface removal process and structure relating thereto |
US11404310B2 (en) * | 2018-05-01 | 2022-08-02 | Hutchinson Technology Incorporated | Gold plating on metal layer for backside connection access |
US10580693B2 (en) | 2018-07-11 | 2020-03-03 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact conductive feature formation and structure |
US11532561B2 (en) * | 2019-09-30 | 2022-12-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Different via configurations for different via interface requirements |
KR20210066990A (ko) | 2019-11-28 | 2021-06-08 | 삼성전자주식회사 | 반도체 소자 |
TWI752464B (zh) * | 2020-04-14 | 2022-01-11 | 華邦電子股份有限公司 | 半導體結構及其形成方法 |
US12002864B2 (en) * | 2021-01-04 | 2024-06-04 | Changxin Memory Technologies, Inc. | Method for manufacturing semiconductor structure and semiconductor structure |
US20220270921A1 (en) * | 2021-02-23 | 2022-08-25 | Changxin Memory Technologies, Inc. | Method for forming semiconductor structure and semiconductor structure |
US20220367256A1 (en) * | 2021-05-13 | 2022-11-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device contact and method of making same |
US20220367353A1 (en) * | 2021-05-14 | 2022-11-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacturing |
US12087624B2 (en) * | 2021-09-21 | 2024-09-10 | International Business Machines Corporation | Beol tip-to-tip shorting and time dependent dielectric breakdown |
Family Cites Families (50)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3228181B2 (ja) * | 1997-05-12 | 2001-11-12 | ヤマハ株式会社 | 平坦配線形成法 |
JP3660799B2 (ja) * | 1997-09-08 | 2005-06-15 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
US6232228B1 (en) * | 1998-06-25 | 2001-05-15 | Samsung Electronics Co., Ltd. | Method of manufacturing semiconductor devices, etching composition for manufacturing semiconductor devices, and semiconductor devices made using the method |
US6103612A (en) * | 1998-09-02 | 2000-08-15 | Micron Technology, Inc. | Isolated interconnect studs and method for forming the same |
US6495452B1 (en) * | 1999-08-18 | 2002-12-17 | Taiwan Semiconductor Manufacturing Company | Method to reduce capacitance for copper interconnect structures |
US6727169B1 (en) * | 1999-10-15 | 2004-04-27 | Asm International, N.V. | Method of making conformal lining layers for damascene metallization |
US6284657B1 (en) * | 2000-02-25 | 2001-09-04 | Chartered Semiconductor Manufacturing Ltd. | Non-metallic barrier formation for copper damascene type interconnects |
US6555858B1 (en) * | 2000-11-15 | 2003-04-29 | Motorola, Inc. | Self-aligned magnetic clad write line and its method of formation |
US6756672B1 (en) * | 2001-02-06 | 2004-06-29 | Advanced Micro Devices, Inc. | Use of sic for preventing copper contamination of low-k dielectric layers |
US6624066B2 (en) | 2001-02-14 | 2003-09-23 | Texas Instruments Incorporated | Reliable interconnects with low via/contact resistance |
US6566242B1 (en) * | 2001-03-23 | 2003-05-20 | International Business Machines Corporation | Dual damascene copper interconnect to a damascene tungsten wiring level |
JP2002367998A (ja) * | 2001-06-11 | 2002-12-20 | Ebara Corp | 半導体装置及びその製造方法 |
JP2003086673A (ja) * | 2001-09-11 | 2003-03-20 | Nec Corp | 半導体装置の製造方法 |
US6878620B2 (en) * | 2002-11-12 | 2005-04-12 | Applied Materials, Inc. | Side wall passivation films for damascene cu/low k electronic devices |
KR100558008B1 (ko) * | 2003-12-29 | 2006-03-06 | 삼성전자주식회사 | 반도체 소자의 배선 방법 |
KR100596489B1 (ko) * | 2004-06-28 | 2006-07-03 | 삼성전자주식회사 | 금속배선을 갖는 반도체 장치 및 이의 제조방법 |
US20060273380A1 (en) * | 2005-06-06 | 2006-12-07 | M-Mos Sdn.Bhd. | Source contact and metal scheme for high density trench MOSFET |
JP4231055B2 (ja) | 2006-02-06 | 2009-02-25 | 株式会社東芝 | 半導体装置及びその製造方法 |
KR100908828B1 (ko) | 2006-12-27 | 2009-07-21 | 주식회사 하이닉스반도체 | 랜딩플러그콘택을 구비하는 반도체소자의 제조 방법 |
US7973409B2 (en) * | 2007-01-22 | 2011-07-05 | International Business Machines Corporation | Hybrid interconnect structure for performance improvement and reliability enhancement |
DE102007009912B4 (de) * | 2007-02-28 | 2009-06-10 | Advanced Micro Devices, Inc., Sunnyvale | Verfahren zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein fortschrittliches Integrationsschema |
US8703605B2 (en) * | 2007-12-18 | 2014-04-22 | Byung Chun Yang | High yield and high throughput method for the manufacture of integrated circuit devices of improved integrity, performance and reliability |
JP2009194195A (ja) | 2008-02-15 | 2009-08-27 | Panasonic Corp | 半導体装置及びその製造方法 |
US8114768B2 (en) * | 2008-12-29 | 2012-02-14 | International Business Machines Corporation | Electromigration resistant via-to-line interconnect |
US20120064713A1 (en) * | 2010-09-10 | 2012-03-15 | Tokyo Electron Limited | Ultra-low-k dual damascene structure and method of fabricating |
US8487410B2 (en) * | 2011-04-13 | 2013-07-16 | Taiwan Semiconductor Manufacturing Company, Ltd. | Through-silicon vias for semicondcutor substrate and method of manufacture |
US9236267B2 (en) | 2012-02-09 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Cut-mask patterning process for fin-like field effect transistor (FinFET) device |
US8951855B2 (en) | 2012-04-24 | 2015-02-10 | United Microelectronics Corp. | Manufacturing method for semiconductor device having metal gate |
US8736056B2 (en) * | 2012-07-31 | 2014-05-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Device for reducing contact resistance of a metal |
US8823065B2 (en) * | 2012-11-08 | 2014-09-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US9105490B2 (en) | 2012-09-27 | 2015-08-11 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure of semiconductor device |
US9236300B2 (en) | 2012-11-30 | 2016-01-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact plugs in SRAM cells and the method of forming the same |
KR102049774B1 (ko) * | 2013-01-24 | 2019-11-28 | 삼성전자 주식회사 | 반도체 장치 및 그 제조 방법 |
US9343356B2 (en) * | 2013-02-20 | 2016-05-17 | Taiwan Semiconductor Manufacturing Co., Ltd. | Back end of the line (BEOL) interconnect scheme |
US8921947B1 (en) * | 2013-06-10 | 2014-12-30 | United Microelectronics Corp. | Multi-metal gate semiconductor device having triple diameter metal opening |
US9245797B2 (en) * | 2013-08-19 | 2016-01-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Opening fill process and structure formed thereby |
US8940635B1 (en) * | 2013-08-30 | 2015-01-27 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure and method for forming interconnect structure |
US9136106B2 (en) | 2013-12-19 | 2015-09-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for integrated circuit patterning |
US20150228776A1 (en) * | 2014-02-07 | 2015-08-13 | Globalfoundries Inc. | Methods of forming contacts to semiconductor devices using a bottom etch stop layer and the resulting devices |
US9406804B2 (en) | 2014-04-11 | 2016-08-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | FinFETs with contact-all-around |
US9443769B2 (en) | 2014-04-21 | 2016-09-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Wrap-around contact |
US9634115B2 (en) * | 2014-06-11 | 2017-04-25 | Globalfoundries Inc. | Methods of forming a protection layer on a semiconductor device and the resulting device |
US9831183B2 (en) | 2014-08-07 | 2017-11-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Contact structure and method of forming |
KR102246880B1 (ko) | 2015-02-10 | 2021-04-30 | 삼성전자 주식회사 | 집적회로 소자 및 그 제조 방법 |
US10062763B2 (en) * | 2015-05-27 | 2018-08-28 | Qualcomm Incorporated | Method and apparatus for selectively forming nitride caps on metal gate |
US9520482B1 (en) | 2015-11-13 | 2016-12-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of cutting metal gate |
US9859156B2 (en) * | 2015-12-30 | 2018-01-02 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnection structure with sidewall dielectric protection layer |
US9659813B1 (en) | 2016-02-05 | 2017-05-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Interconnection and manufacturing method thereof |
US9548366B1 (en) | 2016-04-04 | 2017-01-17 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self aligned contact scheme |
US10269636B2 (en) * | 2017-05-26 | 2019-04-23 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and method of fabricating the same |
-
2018
- 2018-01-25 US US15/880,448 patent/US10361120B2/en active Active
- 2018-04-06 KR KR1020180040491A patent/KR102066251B1/ko active IP Right Grant
- 2018-10-11 TW TW107135764A patent/TWI679730B/zh active
- 2018-10-16 CN CN201811200185.5A patent/CN109860100B/zh active Active
- 2018-11-29 US US16/203,918 patent/US10971396B2/en active Active
-
2021
- 2021-04-05 US US17/221,958 patent/US11798843B2/en active Active
Also Published As
Publication number | Publication date |
---|---|
US10361120B2 (en) | 2019-07-23 |
US10971396B2 (en) | 2021-04-06 |
US11798843B2 (en) | 2023-10-24 |
US20190164823A1 (en) | 2019-05-30 |
TWI679730B (zh) | 2019-12-11 |
US20210225701A1 (en) | 2021-07-22 |
TW201926561A (zh) | 2019-07-01 |
CN109860100B (zh) | 2021-09-03 |
CN109860100A (zh) | 2019-06-07 |
KR20190064375A (ko) | 2019-06-10 |
US20190164824A1 (en) | 2019-05-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102066251B1 (ko) | 도전성 피처 형성 및 구조물 | |
US10978350B2 (en) | Structure and method for metal gates with roughened barrier layer | |
TWI682497B (zh) | 半導體結構及半導體製程方法 | |
US11616061B2 (en) | Cut metal gate with slanted sidewalls | |
US10749014B2 (en) | Method and structure for FinFET comprising patterned oxide and dielectric layer under spacer features | |
US11769693B2 (en) | Metal-based etch-stop layer | |
US9099494B2 (en) | Contact structure of semiconductor device | |
US9589838B2 (en) | Contact structure of semiconductor device | |
US11062941B2 (en) | Contact conductive feature formation and structure | |
KR20190024517A (ko) | 랩-어라운드형 에피택셜 구조 및 방법 | |
KR20230109536A (ko) | 반도체 디바이스를 제조하는 방법 및 반도체 디바이스 | |
TWI783357B (zh) | 電晶體與其形成方法 | |
US20230369109A1 (en) | Conductive Feature Formation and Structure | |
CN110660670A (zh) | 半导体结构的形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant |