KR101904582B1 - 저 유전체 감광성 조성물 및 이로부터 제조된 전자 디바이스 - Google Patents

저 유전체 감광성 조성물 및 이로부터 제조된 전자 디바이스 Download PDF

Info

Publication number
KR101904582B1
KR101904582B1 KR1020147018889A KR20147018889A KR101904582B1 KR 101904582 B1 KR101904582 B1 KR 101904582B1 KR 1020147018889 A KR1020147018889 A KR 1020147018889A KR 20147018889 A KR20147018889 A KR 20147018889A KR 101904582 B1 KR101904582 B1 KR 101904582B1
Authority
KR
South Korea
Prior art keywords
polymer
substituted
delete delete
composition
vinyl
Prior art date
Application number
KR1020147018889A
Other languages
English (en)
Other versions
KR20140117391A (ko
Inventor
루즈히 장
지훈 김
브하라트쿠마르 케이 파텔
엘리자베스 울퍼
Original Assignee
에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘. filed Critical 에이제트 일렉트로닉 머티어리얼스 (룩셈부르크) 에스.에이.알.엘.
Publication of KR20140117391A publication Critical patent/KR20140117391A/ko
Application granted granted Critical
Publication of KR101904582B1 publication Critical patent/KR101904582B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08LCOMPOSITIONS OF MACROMOLECULAR COMPOUNDS
    • C08L83/00Compositions of macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon only; Compositions of derivatives of such polymers
    • C08L83/04Polysiloxanes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds
    • G03F7/0758Macromolecular compounds containing Si-O, Si-C or Si-N bonds with silicon- containing groups in the side chains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Health & Medical Sciences (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials For Photolithography (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명은 일반적으로 전자 디바이스에 사용하기 적합한 저 k 유전 상수 물질의 형성에 사용될 수 있는 규소계 포토레지스트 조성물, 이의 사용 방법 및 이로부터 제조되는 전자 디바이스에 관한 것이다.

Description

저 유전체 감광성 조성물 및 이로부터 제조된 전자 디바이스{LOW DIELECTRIC PHOTOIMAGEABLE COMPOSITIONS AND ELECTRONIC DEVICES MADE THEREFROM}
본 발명은 일반적으로 전자 디바이스에 사용하기 적합한 저 k 유전 상수 물질을 형성하는 데 사용될 수 있는 규소계 포토레지스트 조성물, 이의 사용 방법 및 이로부터 제조된 전자 디바이스에 관한 것이다.
전자 디바이스가 소형화됨에 따라, 누화(crosstalk) 또는 용량 결합과 같은 전기적 성능의 저하 없이 전자 컴포넌트, 예를 들어, 집적 회로, 회로 기판, 멀티칩 모듈, 칩 테스트 디바이스 등에서의 회로 밀도를 증가시키는 것과, 또한 이 컴포넌트들에서 신호 전파 속도를 증가시키는 것이 전자 산업에서 지속적으로 요구되고 있다. 이 목표들을 달성하는 한 방법은 컴포넌트에 사용된 층간 절연 물질의 유전 상수를 감소시키는 것이다.
실록산계 물질이 이러한 층간 절연 물질의 유전 상수를 감소시키는 저 k 물질로서 확인되어 사용되었다. 일반적으로 실록산 층이 기판 상에 침착되고 포토리소그래피 공정으로 이어지며, 이는 에칭 후 금속 배선, 비아(vias) 및 다른 전자 컴포넌트들이 위치할 수 있는 실록산계 패턴을 제공한다. 최근 감광성(photoimageable)인 실록산 조성물이 개시되어 있는데, 여기서 감광성 개시제는 화학 방사선에 노광될 때 실록산 물질, 예컨대 작용성 실록산 폴리머와 반응하여 현상제에 대한 이의 용해성을 변화시킨다.
이러한 용도에서의 다수의 실록산 폴리머는 매우 가공하기 어려운 액체 또는 점착성 실록산 층을 결과로 야기하는 매우 낮은 유리 전이 온도(Tg)를 특징으로 한다. 높은 Tg를 가진 실록산 폴리머 및 이로 인한 무점착성(tack free) 코팅을 제공하려는 시도는 달성될 수 있는 이의 용도 및 두께 둘 다를 제한하는, 쉽게 균열되는 취성 층(brittle layer)을 결과로 야기한다. 하이브리드 규소-탄소 폴리머 시스템은 마이크로회로 용도에 대한 층간 유전체 코팅에서의 취성을 해소하는 것으로 제안되었다. US 6,251,486(Chandross et al.)에서는 저 유전체 물질로서의 용도를 위한 변형된 메틸실세스퀴옥산 조성물이 개시되어 있다. 이 메틸실세스퀴옥산은 디메틸 및 페닐 펜던트 기를 포함하여 모든 메틸실세스퀴옥산보다 더욱 우수한 내균열성을 제공한다. 따라서, 내균열성은 코팅 전 폴리머 내로 펜던트 기의 혼입을 통해 코팅된 물품에서 달성된다. 그러나, 디메틸실록산의 규소 폴리머로의 첨가는 결과로 점착성 코팅을 야기한다. 실록산 코팅의 유연성은 노광 중에 실록산 폴리머와 가교결합하는 첨가 작용화된(additive functionalized) 폴리머에 의해 얻어졌다. 이 조성물에 적합한 작용화된 폴리머는 일반적으로 수불용성이며, 이는 현상 중에 스커밍(scumming) 또는 스컴(scum)을 제거하려는 경우 이미지의 과다 현상을 포함하는 현상 중의 문제들을 결과로 야기할 수 있다. 실록산 조성물의 코팅 두께는, 부분적으로, 이 성분들로 달성 가능한 낮은 점성으로 인해 얇다. 통상적으로, 고형분을 증가시키기 위해 조성물에 더 많은 실록산을 첨가하는 것은, 얻을 수 있는 점성에 대한 제한뿐만 아니라 안정성 문제에 의해서도 방해받는다.
따라서, 유연성이고, 무점착성이며, 두꺼운 코팅을 형성할 수 있고, 결과로 생성되는 이미지를 열화시키지 않으면서 용이하게 현상 가능한 저 k 유전체 포토레지스트에 대한 필요성이 존재한다.
놀랍게도, 다양한 수용성 폴리머를 함유하는 실록산계 포토레지스트 조성물은, 조성물의 점성을 증가시켜 실록산계 포토레지스트 조성물 단독으로 얻어질 수 있는 것보다 두꺼운 코팅을 가능하게 하는 것뿐만 아니라 향상된 유연성 및 내균열성을 제공하는 것으로 밝혀졌다. 본 발명의 조성물은 네거티브 톤 조성물 또는 포지티브 톤 조성물일 수 있다.
본 특허 출원의 제1 실시양태에서는, 감광성 유전체 조성물로서, 하기 화학식의 1 이상의 반복 단위를 갖고, 복수의 작용성 말단기를 가지며, 가교결합 촉매와 가교결합될 수 있는 알칼리 가용성 폴리머, 알칼리 가용성 폴리머와 혼화 가능한 수용성 유기 폴리머, 및 광산 발생제 및 광염기 발생제 중 1 이상으로부터 선택되는 가교결합 촉매를 포함하는 감광성 유전체 조성물이 개시 및 특허청구된다.
Figure 112014064058989-pct00001
[상기 식에서 R1은 비가수분해성 기이고 n은 1 내지 3, 바람직하게는 2 내지 3 범위의 정수임]
본 특허 출원의 제2 실시양태에서는, 작용성 말단기가 히드록시, 알콕시, 에폭시, 옥세탄, 비닐 에테르, 말레이미드, 페놀, (메트)아크릴레이트, 티올, 카르복실산 에스테르, 카르보닐 작용기 및 술포네이트 에스테르로 이루어진 군으로부터 선택되는 것인 상기 실시양태의 감광성 유전체 조성물이 개시 및 특허청구된다.
본 특허 출원의 제3 실시양태에서는, 수용성 유기 폴리머가 약 100℃ 초과의 유리 전이 온도 및 약 10 미만의 유전 상수를 갖고, 감광 이전 및 이후의 건조된 상태에서 유연성을 향상시키기에 유효한 양으로 조성물 내에 존재하는 것인 상기 실시양태들의 감광성 유전체 조성물이 개시 및 특허청구된다.
본 특허 출원의 제4 실시양태에서는, 수용성 유기 폴리머가 코팅시 약 5 ㎛ 초과의 코팅을 제공하기에 유효한 양으로 조성물 내에 존재하는 것인 상기 실시양태들의 감광성 유전체 조성물이 개시 및 특허청구된다.
본 특허 출원의 제5 실시양태에서는, 조성물이 침착 및 건조 후에 무점착성 코팅을 제공하는 것인 상기 실시양태들의 감광성 유전체 조성물이 개시 및 특허청구된다.
본 특허 출원의 제6 실시양태에서는, 수용성 유기 폴리머가 비닐 락탐, 비닐 락톤, 비닐이미다졸, 비닐피롤리돈, 비닐 알코올, 비닐 아세테이트와 같은 모노머, 또는 이들의 코폴리머로부터 유도된 모노머 단위를 포함하는 것인 상기 실시양태들의 감광성 유전체 조성물이 개시 및 특허청구된다.
본 특허 출원의 제7 실시양태에서는, 퀀쳐(quencher)를 추가로 포함하는 상기 실시양태들의 감광성 유전체 조성물이 개시 및 특허청구된다.
본 특허 출원의 제8 실시양태에서는, 비가수분해성 기가 수소, 치환된 알킬, 알킬, 치환된 모노시클로알킬, 모노시클로알킬, 폴리시클로알킬, 치환된 폴리시클로알킬, 치환된 모노시클릭아릴, 모노시클릭 아릴, 치환된 폴리시클릭 아릴, 폴리시클릭아릴, 치환된 모노시클릭 아르알킬, 모노시클릭 아르알킬, 폴리시클릭 아르알킬, 치환된 폴리시클릭 아르알킬, 카르복시 및 카르비놀로부터 선택되는 것인 상기 실시양태들의 감광성 유전체 조성물이 개시 및 특허청구된다.
본 특허 출원의 추가 실시양태에서는, 기판 상에 유전체 이미지를 형성하는 방법으로서, a) 상기 실시양태들의 조성물을 기판에 코팅하는 단계, b) 조성물을 가열하여 용매를 실질적으로 제거하는 단계, c) 건조된 조성물을 화학 방사선에 결상 방식으로(imagewise), 바람직하게는 포토마스크를 통해 노광시키는 단계, d) 임의로, 노광된 조성물을 가열하여 이를 추가 경화시키는 단계, e) 수성 알칼리 현상제를 사용하여 조성물을 현상시켜 조성물의 비노광된 부분을 제거하는 단계, 및 f) 임의로, 결과로 생성된 노광 및 현상된 조성물을 가열하여 이를 추가 경화시키는 단계를 포함하는 방법이 개시 및 특허청구된다. 바람직하게는 수용성 유기 폴리머는 소정의 두께, 유연성 및 무점착성 표면을 제공하기에 유효한 양으로 존재한다.
추가 실시양태에서는, 유전체 패턴이 무균열이고/이거나, 코팅이 약 5 ㎛ (미크론) 초과이고/이거나, 조성물이 코팅 및 건조 후에 무점착성인 본 발명의 방법이 개시 및 특허청구된다.
본 특허 출원의 또 다른 추가 실시양태에서는, 상기 기술된 실시양태들 중 임의를 사용함으로써 제조된 디바이스가 개시 및 특허청구된다.
본 발명의 또 다른 추가 실시양태에서는, 기판 상의 유전체 패턴의 형성에서의 상기 실시양태들의 조성물의 용도가 개시 및 특허청구된다.
본원에 사용된 바와 같이, 달리 기재되지 않은 한, 접속사 "및"은 포괄하는 것으로 의도되고, 접속사 "또는"은 배제하는 것으로 의도되지 않는다. 예를 들어, 문구 "또는, 대안적으로"는 배제하는 것으로 의도된다.
본 개시내용은 감광성 유전체 조성물로서, 하기 화학식의 1 이상의 반복 단위를 갖고, 복수의 작용성 말단기를 가지며, 가교결합 촉매와 가교결합될 수 있는 알칼리 가용성 폴리머, 알칼리 가용성 폴리머와 혼화 가능한 수용성 유기 폴리머, 및 광산 발생제 및 광염기 발생제 중 1 이상으로부터 선택되는 가교결합 촉매를 포함하는 감광성 유전체 조성물에 관한 것이다.
Figure 112014064058989-pct00002
[상기 식에서 R1은 비가수분해성 기이고 n은 1 내지 3, 바람직하게는 2 내지 3 범위의 정수임]
작용성 말단기는 히드록시, 알콕시, 에폭시, 옥세탄, 비닐 에테르, 말레이미드, 페놀, (메트)아크릴레이트, 티올, 카르복실산 에스테르, 카르보닐 작용기 및 술포네이트 에스테르로 이루어진 군으로부터 선택될 수 있다.
한 바람직한 실시양태에서, n은 3이며, 즉 폴리머는 실세스퀴옥산 단위 R1SiO3/2를 함유한다.
본 발명의 조성물은 네거티브 톤 조성물 또는 포지티브 톤 조성물일 수 있다. 본 발명의 한 실시양태에서, 네거티브 톤 조성물이 사용된다.
알칼리 가용성 폴리머는 1 초과의 메커니즘에 의해 가용화될 수 있다. 예를 들어, 폴리머는 수성 알칼리 현상제와 반응하여 이로써 폴리머를 가용화할 수 있는 Si-OH 작용기를 함유할 수 있다. R1은 수성 알칼리 현상제와 반응하여 이로써 폴리머를 가용화하는 기, 예컨대 페놀 기 등을 함유할 수 있다. 알칼리 가용성 폴리머는 다수의 실록산 모노머로부터 제조될 수 있다.
본 개시내용에 유용한 폴리머는 예를 들어, 실록산, 실세스퀴옥산, 및 케이지형(caged) 실록산 및 이들의 조합을 포함한다. 폴리머는 조성물에 1 내지 99.5 중량%, 예를 들어 60 내지 98.5 중량%의 양으로 존재할 수 있다. R1에 대한 예시적인 유기 기는 치환된 및 비치환된 알킬, 치환된 및 비치환된 아릴(이는 아르알킬을 포함함) 및 헤테로시클릭 기를 포함한다. 알킬 기는 예를 들어 1 내지 20개의 탄소 원자를 갖는, 그리고 통상적으로 1 내지 20개의 탄소 원자를 갖는 선형 사슬, 분지형 또는 환형 기, 예컨대 메틸, 에틸, 프로필, 이소프로필, t-부틸, t-아밀, 옥틸, 데실, 도데실, 세틸, 스테아릴, 시클로헥실, 및 2-에틸헥실일 수 있다. 알킬 기는 알킬 사슬에 및/또는 알킬 사슬 상에 헤테로원자로 치환될 수 있거나, 예를 들어, 또는 비방향족 시클릭 기, 예컨대 시클로펜틸, 시클로헥실, 노르보닐, 아다만틸, 피페리디닐, 테트라히드로퓨라닐 및 테트라히드로티오페닐 기일 수 있다. 예시적인 아릴 기는 6 내지 20개의 탄소 원자, 예를 들어, 6 내지 15개의 탄소 원자를 갖는 기, 예컨대 페닐, 톨릴, 벤질, 에틸페닐, 1-나프틸, 2-나프틸 및 2-페난트릴을 포함하고, 헤테로원자로 치환될 수 있다. 헤테로시클릭 기는 방향족, 예를 들어, 티오펜, 피리딘, 피리미딘, 피롤, 포스폴, 아르솔(arsole), 및 퓨란일 수 있다. 예시적인 유기 기는 추가로 알칼리 가용성 현상제와 반응하는 알칼리 가용성 기로 치환될 수 있다.
폴리머는 랜덤형 또는 블록형인, 코폴리머 또는 보다 고차인 폴리머의 형태를 취할 수 있다. 폴리머는 예를 들어, 각 단위의 비율이 폴리머를 기준으로 1 내지 85 중량%, 예를 들어, 15 내지 80 중량% 또는 25 내지 60 중량%, 또는 25 내지 50 중량% 범위인 1 이상의 추가 규소 함유 단위를 포함할 수 있다. 추가 단위는 예를 들어, 실록산, 실세스퀴옥산, 케이지형 실록산 및/또는 이들의 조합으로 대표될 수 있다. 예를 들어, 폴리머는 추가로 화학식(R2 SiO1.5)의 중합된 단위를 포함할 수 있고, 상기 식에서 R2는 R1에 대해 상기 기술된 바와 같은 치환된 또는 비치환된 유기 기이다. R1 및 R2 중 하나는, 예를 들어, 치환된 또는 비치환된 알킬 기로부터 선택될 수 있고, R1 및 R2 중 다른 하나는 치환된 또는 비치환된 아릴 기로부터 선택될 수 있다. 폴리머는 예를 들어, 알킬 규소 폴리머, 예컨대 메틸 실세스퀴옥산 단위 및 부틸 실세스퀴옥산 단위 함유 코폴리머, 아릴 규소 폴리머, 예컨대 페닐 실세스퀴옥산 단위 및 트리플루오로메틸페닐 실세스퀴옥산 단위 함유 코폴리머, 또는 아르알킬 규소 코폴리머, 예컨대 메틸 및 페닐 실세스퀴옥산 단위 함유 코폴리머일 수 있다. 후자는 바람직한 실시양태에서 사용된다. 90 중량% 메틸트리에톡시실란 및 10 중량% 페닐트리에톡시실란(90:10 메틸-페닐 실세스퀴옥산)의 축합 반응에 의해 합성된 실세스퀴옥산 폴리머가 특히 바람직하다.
상기 기술된 바와 같이, 폴리머의 측쇄 기는 임의로 치환될 수 있다. "치환된"은 측쇄 기 상의 1 이상의 수소 원자가 또 다른 치환 기, 예를 들어, 중수소, 할로겐, 예컨대 불소, 브롬, 및 염소, (C1-C6) 알킬, (C1-C6) 할로알킬, (C1-C10) 알콕시, (C1-C10) 알킬카르보닐, (C1-C10) 알콕시카르보닐, (C1-C10) 알킬카르보닐옥시, 알킬아민, 알킬황 함유 물질 등으로 대체되는 것을 의미한다. 폴리머는 넓은 범위의 랜덤형 또는 블럭형의 반복 단위를 포함할 수 있다. 폴리머는, 바람직하게는 겔 투과 크로마토그래피(GPC)로 측정하여, 약 500 내지 15,000의 중량 평균 분자량(Mw)을 가질 수 있다.
폴리머는 추가로 경화 또는 가교결합이 발생되도록 하는 2 이상의 작용성 말단기를 포함한다. 이러한 말단 기는 예를 들어, 히드록시 (Si-OH) 알콕시 (Si-O알킬), 예컨대 에톡시, 프로폭시, 이소프로폭시; 카르복시에스테르, 아미노, 아미도, 에폭시, 이미노, 카르복시산, 무수물, 올레핀계, 아크릴계, 아세탈, 오르토에스테르, 비닐 에테르, 및 이들의 조합일 수 있다. 이들 중에서, 히드록시 기가 예시적이다. 작용성 말단부 함량은, 예를 들어, 폴리머를 기준으로 약 0.5 내지 35 중량%, 예를 들어 약 1 내지 10 중량%, 또는 약 2 내지 5 중량%일 수 있으며 - 이는 규소를 배제하면서 사슬을 종결하는 마지막 모이어티(moiety)의 중량으로부터 계산된 것이다.
폴리머는 추가로, 임의로 1 이상의 실록산 단위, 예를 들어, 디페닐 또는 디메틸 치환된 실록산을 포함할 수 있다.
기술된 폴리머 물질은 용이하게 사용 가능한 출발 물질로 공지된 방법에 의해 제조될 수 있다. 예를 들어, 90:10 메틸-페닐 실세스퀴옥산 함유 코폴리머는 90 중량% 메틸트리에톡시실란 및 10 중량% 페닐트리에톡시실란의 축합 반응에 의해 합성될 수 있다.
본 개시내용의 감광성 유전체 조성물은 또한 알칼리 가용성 규소 폴리머와 혼화 가능한 수용성 유기 폴리머, 및 광산 발생제 및 광염기 발생제 중 1 이상으로부터 선택되는 가교결합 촉매를 포함한다. 수용성 폴리머는 규소를 포함하지 않을 수 있다.
모든 폴리머가 본 개시내용의 실세스퀴옥산 폴리머와 혼화 가능하지는 않는 것으로 밝혀졌다. 폴리머의 혼화 가능성은 초기 코팅, 포토리소그래피 공정 및 최종적으로 얻어진 유전체 이미지의 완전성(integrity)에 있어 중요하다. 비혼화성은 바람직하지 않은 현상제 부착 및 유전체 기능을 손상시키는 최종 이미지에서의 결함을 야기할 수 있다. 스티렌-코-아크릴레이트 폴리머는 비혼화성인 폴리머의 예이다.
본 개시내용에 적합한 수용성 폴리머는 예를 들어, 비닐 락탐, 비닐 락톤, 비닐이미다졸, 비닐피롤리돈, 비닐 알코올, 비닐 아세테이트, 또는 이들의 코폴리머로부터 유도된 모노머 단위를 포함한다. 이러한 폴리머의 예로는 폴리(비닐피롤리돈-코-비닐아세테이트), 폴리비닐 피롤리돈, 폴리비닐 아세테이트 및 이들의 조합이 있다. 이 폴리머들은, 이러한 가교결합이 개시문헌의 내용과 다르지 않음에도 불구하고, 알칼리 가용성 규소 폴리머와 가교결합하는 데 필수적으로 요구되지 않는다. 수용성 폴리머는 100℃ 초과, 예를 들어, 105℃ 및, 예를 들어, 175 - 180℃의 유리 전이 온도(Tg) 및 10 미만, 예를 들어 6 미만, 예를 들어 4 미만의 유전 상수(k)를 가진다.
본 개시내용의 수용성 폴리머는, 실록산 폴리머, 바람직하게는 실세스퀴옥산 폴리머와의 조합으로, 무점착성 표면을 지니는 코팅이 조성물이 코팅되고 건조되었을 때뿐만 아니라 노광, 현상 및 경화와 같은 추가 가공 중에도 달성되도록 선택될 수 있다. 무점착성 코팅을 제공하는 데 효과적인 수용성 폴리머의 양은 약 0.1 중량% 내지 약 35 중량%, 또는, 예를 들어 약 0.1 중량% 내지 약 25 중량%, 또는, 예를 들어 약 0.5 중량% 내지 약 20 중량%이며, 이러한 중량%는 조성물의 실록산 폴리머, 바람직하게는 실세스퀴옥산 폴리머의 중량을 기준으로 한다. 무점착성 표면은 온-콘택트(on-contact) 프린팅을 가능하게 할 뿐 아니라 다른 물질과 코팅 표면의 접촉으로부터 야기될 수 있는 임의 결함을 감소시키거나 방지할 것이다.
본 개시내용의 수용성 폴리머의 종류와 양은, 폴리머가 유효량으로 존재하는 경우, 실록산 폴리머, 바람직하게는 실세스퀴옥산 폴리머와의 조합으로, 조성물의 점성이 증가하여, 125 ㎛ 이하, 또는 100 ㎛ 이하, 또는 75 ㎛ 이하의 단일 코팅 두께가 달성될 수 있도록 선택될 수 있다. 신규한 조성물은 5 ㎛ 초과, 7 ㎛ 초과 또는 10 ㎛ 초과의 코팅 필름에 유용하다. 따라서 수용성 폴리머 및 유효량의 신중한 조작에 의해, 코팅 공정으로부터 생성되는 다양한 범위의 코팅 두께가 달성될 수 있다. 이러한 유효량은 약 0.1 중량% 내지 약 35 중량%, 또는, 예를 들어 약 0.1 중량% 내지 약 25 중량%, 또는, 예를 들어 약 0.5 중량% 내지 약 20 중량%이며, 이러한 중량%는 조성물의 실록산 폴리머, 바람직하게는 실세스퀴옥산 폴리머의 중량을 기준으로 한다.
본 개시내용의 수용성 폴리머는, 실록산 폴리머, 바람직하게는 실세스퀴옥산 폴리머와의 조합으로, 조성물이 임의 경화 단계 후뿐만 아니라 코팅, 건조, 노광, 현상 후에 어떠한 균열도 없도록 선택될 수 있으며, 이로써, 결과로 얻어지는 이미지 또한 어떠한 균열도 없게 한다. 무균열 코팅 및 이미지를 제공하기 위한 수용성 폴리머의 유효량은 약 0.1 중량% 내지 약 35 중량%, 또는, 예를 들어 약 0.1 중량% 내지 약 25 중량%, 또는, 예를 들어 약 0.5 중량% 내지 약 20 중량%이며, 이러한 중량%는 조성물의 실록산 폴리머, 바람직하게는 실세스퀴옥산 폴리머의 중량을 기준으로 한다.
본 개시내용에서 유용한 광산 발생제는 노광시 산을 생성하는 임의 화합물(들)일 수 있다. 적합한 광산 발생제는 할로겐화 트리아진, 오늄 염, 술폰화 에스테르, 치환된 히드록시이미드, 치환된 히드록실이민, 아지드, 나프토퀴논, 예컨대 디아조나프토퀴논, 디아조 화합물, 및 이들의 조합으로 공지되고 이들을 포함하나, 이에 제한되지는 않는다. 특히 유용한 할로겐화 트리아진은 예를 들어, 할로겐화 알킬 트리아진, 예컨대 트리할로메틸-s-트리아진을 포함한다. s-트리아진 화합물은 특정 메틸-트리할로메틸-s-트리아진 및 특정 알데히드 또는 알데히드 유도체의 축합 반응 생성물이다.
약친핵성 음이온을 지니는 오늄 염은 본 발명의 광산 발생제로서의 용도에 특히 적합하다. 이러한 음이온의 예는 2가 내지 7가 금속 또는 비금속, 예를 들어, 안티몬, 주석, 철, 비스무트, 알루미늄, 갈륨, 인듐, 티타늄, 지르코늄, 스칸듐, 크롬, 하프늄, 구리, 붕소, 인 및 비소의 할로겐 복합 음이온(halogen complex anion)이다. 적합한 오늄 염의 예는 주기율표의 VA 및 VB족, IIA 및 IIB족 및 I족의 디아조늄 염, 예컨대 디아릴-디아조늄 염 및 오늄 염, 예를 들어, 할로늄 염, 예컨대 요오도늄 염, 4차 암모늄, 포스포늄 및 아르소늄 염, 술포늄 염, 예컨대 방향족 술포늄 염, 술폭소늄 염 또는 셀레늄 염을 포함하나, 이에 제한되지는 않는다. 적합한 오늄 염의 예는 예를 들어, US 특허 4,442,197; 4,603,101; 및 4,624,912에 개시되어 있다. 예로는 술포늄 염, 예컨대 트리페닐술포늄 헥사플루오로포스페이트 및 이들의 혼합물이 있다. 본 발명의 광산 발생제로서 유용한 술폰화 에스테르는 예를 들어 술포닐옥시 케톤을 포함한다.
적합한 술폰화 에스테르는 벤조인 토실레이트, t-부틸페닐 α-(p-톨루엔술포닐옥시)-아세테이트, 2,6-디니트로벤질 토실레이트, 및 t-부틸 α-(p-톨루엔술포닐옥시)-아세테이트를 포함하나, 이에 제한되지는 않는다. 이러한 술폰화 에스테르는 예를 들어, 문헌[Journal of Photopolymer Science and Technology, Vol. 4, No. 3, 337-340 (1991)]에 개시되어 있다.
사용될 수 있는 치환된 히드록시이미드는, 예를 들어 n-트리플루오로메틸술포닐옥시-2,3-디페닐말레이미드 및 2-트리플루오로메틸벤젠술포닐옥시-2,3-디페닐말레이미드를 포함한다. 적합한 치환된 히드록실이민은 예를 들어 2-(-니트릴로-2-메틸벤질리덴)-(5-히드록시이미노부틸술포닐)-티오펜을 포함한다. 본 발명에서 유용한 아지드는 예를 들어 2,6-(4-아지도벤질리덴) 시클로헥산온을 포함한다. 나프토퀴논은 예를 들어 2,3,4-트리히드록시벤조페논의 2,1-디아조나프토퀴논-4-술포네이트 에스테르를 포함할 수 있다.
디아조 화합물 중에, 1,7-비스(4-클로로술포닐 페닐)-4-디아조-3,5-헵탄디온이 사용될 수 있다.
본 발명에서 유용한 광염기 발생제는 노광시 염기를 방출하는 임의 화합물(들)일 수 있다. 적합한 광염기 발생제는 벤질 카바메이트, 예컨대 O-2-니트로벤질-N-시클로헥실 카바메이트, 벤조인 카바메이트, O-카바모일히드록시아민, O-카바모일옥심, 방향족 술폰아미드, α-락탐, N-(2-알릴에테닐)아미드, 아릴아지드 화합물, N-아릴포름아미드, 4-(오르토-니트로페닐) 디히드로피리딘 등, 및 이들의 조합을 포함하나, 이에 제한되지는 않는다.
퀀쳐가 또한 조성물에 첨가되어 노광된 구역으로부터 비노광된 구역으로의 산 이동(acid migration)을 조절하는 것을 도울 수 있다. 퀀쳐는 일반적으로 아민 물질, 예를 들어, 트리에틸아민, 트리에탄올아민, 아닐린, 에틸렌디아민, 피리딘, 테트라알킬암모늄 히드록시드 또는 이의 염 등이다. 비휘발성 아민 퀀쳐의 사용이 또한 포함된다. 다른 아민은, 예를 들어, US 6,274,286에 기술된 바와 같은, 양성자 스폰지(proton sponge), 1,5-디아자비시클로[4.3.0]-5-노넨, 1,8-디아자비시클로[5,4,0]-7-운데센, 디이소프로필아닐린, 시클릭 알킬아민, 멜라민 유도체 또는 폴리머, 글리코우릴 또는 이의 유도체, 또는 폴리에테르 함유 아민 등과 같은 레지스트 제형에서의 염기도(basicity), 낮은 휘발성 및 용해성을 유지하면서 친핵 반응성을 저해하기 위한 입체 장애 구조를 보유한다.
본 발명의 조성물은 임의로 1 이상의 용매를 함유할 수 있다. 이러한 용매는 본 발명의 조성물을 제형화 하는 것과 기판 상에 본 발명의 조성물을 코팅하는 것을 돕는다. 매우 다양한 용매가 사용될 수 있다. 적합한 용매는 글리콜 에테르, 예컨대 에틸렌 글리콜 모노메틸 에테르, 프로필렌 글리콜 모노메틸 에테르, 디프로필렌 글리콜 모노메틸 에테르; 에스테르, 예컨대 메틸 셀로솔브 아세테이트, 에틸 셀로솔브 아세테이트, 프로필렌 글리콜 모노메틸 에테르 아세테이트, 디프로필렌 글리콜 모노메틸 에테르 아세테이트, 2염기 에스테르, 카보네이트, 예컨대 프로필렌 카보네이트, γ-부티로락톤, 에스테르, 예컨대 에틸 락테이트, n-아밀 아세테이트 및 n-부틸 아세테이트, 알코올, 예컨대 n-프로판올, 이소-프로판올, 케톤, 예컨대 시클로헥산온, 메틸 이소부틸 케톤, 디이소부틸 케톤 및 2-헵탄온, 락톤, 예컨대 γ-부티로락톤 및 γ-카프로락톤, 에테르, 예컨대 디페닐 에테르 및 아니솔, 탄화수소, 예컨대 메시틸렌, 톨루엔 및 크실렌, 및 헤테로시클릭 화합물, 예컨대 N-메틸-2-피롤리돈, N,N'-디메틸프로필렌우레아, 또는 이들의 혼합물을 포함하나, 이에 제한되지는 않는다.
본 개시내용은 또한 본 개시된 조성물을 사용하는 방법에 관한 것이다. 본 개시내용의 조성물은 당업자에게 주지된 기술, 예컨대 딥핑(dipping), 스핀 코팅 또는 스프레잉(spraying), 커튼 코팅, 롤러(roller), 플러드(flood), 스크린, 및 슬롯(slot) 코팅을 사용하여 기판 상에 코팅될 수 있다. 이후 코팅 및 기판은 핫플레이트 또는 대류식 오븐(convection oven) 상에서 또는 다른 주지된 가열 방법으로 가열되어 코팅으로부터 용매를 실질적으로 제거한다. 본원에서 사용된 바와 같이 문구 "용매를 실질적으로 제거한다"는 임의 잔류 용매가 약 5 중량% 미만인 농도의 정도로 용매를 제거하는 것을 의미한다.
코팅 및 기판은 이제 화학 방사선에 결상 방식으로 노광된다. 노광은 온-콘택트 또는 오프-콘택트(off-contact) 프린팅 기술을 사용하여 산업에서 주지된 다수의 노광 수단에 의해 제공될 수 있다. 본 개시내용의 방법에 적합한 화학 방사선은 예를 들어 KrF 및 ArF 방사선, 극 UV 방사선 등과 같은 반도체 레이저 방사선뿐만 아니라 g-선, i-선, h-선 방사선도 포함한다. 전자 빔, 및 X-선 방사선, 일반적으로 약 450 nm 내지 약 6 nm의 임의 방사선이 노광에 사용될 수 있다.
코팅의 노광된 구역은 충분히 경화되어 하기 기술된 현상 공정을 견딜 수 있다. 그러나, 코팅 및 기판은 이제 임의로 핫플레이트 또는 대류식 오븐 또는 다른 주지된 가열 방법을 사용하여 가열되어 코팅의 노광된 구역을 추가 경화시킬 수 있다. 코팅은 이제 코팅의 비노광된 구역을 제거하는 수성 알칼리 현상제에서 현상된다. 적합한 수성 현상제는 예를 들어, 수중 테트라알킬암모늄 수산화물, 예컨대 테트라메틸암모늄 히드록시드뿐만 아니라, 수중 알칼리 금속 수산화물, 예컨대 수산화나트륨 및 수산화칼륨을 포함한다. 이러한 현상제는 통상적으로 0.1 내지 2N, 예를 들어, 0.15 내지 1.0N, 또는 0.26 내지 0.7N의 농도로 사용된다. 현상제 용액은 임의로 1 이상의 공지된 계면활성제, 예컨대 폴리에틸렌 글리콜, 알킬 술포네이트, 및 당해 분야에 주지된 다른 계면활성제들을 포함할 수 있다. 계면활성제는 통상적으로 현상제에 0.01 내지 3 중량%의 양으로 존재한다. 소포제는 또한 유리하게는 현상제에 포함될 수 있다.
결과로 생성된 유전체 이미지는 상기 기술된 바와 같은 가열 기술을 사용하여 추가 가열됨으로써 추가 경화될 수 있다.
상기 조성물 및 방법은 유전체 물질이 사용되는 전자 디바이스 및 컴포넌트에 적합하다. 이러한 디바이스 및 컴포넌트는 다른 전자 디바이스들뿐만 아니라 반도체 디바이스, 멀티칩 모듈, 반도체 패키징, 부동태 막(passivation layer), 재배선 층, 버퍼 층, LCD 디바이스, 평판 표시 디바이스, MEMS, 발광 다이오드에서의 집적 회로의 유전체 층을 포함한다.
[실시예]
실시예 1:
409.74 g의 90:10 메틸:페닐 실세스퀴옥산 폴리머(프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA) 중 69.0% 고형분), 29.76 g의 폴리(비닐피롤리돈-코-비닐아세테이트) 폴리머(프로필렌 글리콜 모노메틸 에테르(PGME) 중 50% 고형분)(Sigma-Aldrich사로부터 구입 가능), 및 29.76 g의 Irgacure-108(메틸 이소-부틸 케톤(MIBK) 중 20.0% 고형분; Ciba사로부터 구입 가능)을 PGME에 용해시켜 60%의 총 고형분을 달성하였다.
결과로 얻어진 감광성 유전체 조성물을 여과하고 0.1524 m(6 인치) 규소 웨이퍼 상에 스핀 코팅시킨 후 60초 동안 90℃에서 소프트 베이킹시켜(soft baked) 10.1 ㎛의 필름 두께를 지니는 무점착성, 무균열 필름을 얻었다. 포토레지스트 필름을 울트라테크 스텝퍼(Ultratech stepper) 상에서 광대역 광에 패턴형으로 노광시키고, 60초 동안 80℃에서 노광후 베이킹시켰다. 이어서 2.38 중량% 테트라메틸암모늄 히드록시드(TMAH) 현상제를 사용하여 30초 퍼들 현상(puddle development)시켜 9.6 ㎛의 필름 두께를 갖는 피처를 해상하였다. 단면 주사 현미경(Cross-sectional Scanning electron microscope, X-SEM)결과물은, 조성물 및 방법이 10 미크론 임계 치수(critical dimension, CD)의 1:1 콘택트 홀, 10 ㎛ CD의 1:1 포스트 패턴, 및 10 미크론 CD의 1:1 트렌치 패턴으로 해상됨을 보였다.
결과로 얻어진 패턴화된 유전체 이미지 2개를 각각 질소 분위기 하의 핫플레이트 상에서 60분 동안 200℃ 및 250℃에서 베이킹하였다. 칩 상의 경화된 유전체 필름을 X-SEM으로 조사하였다. 균열은 관찰되지 않았다. 추가로, CD 수축이나 패턴 프로필 변화도 관찰되지 않았다.
실시예 1로부터 결과로 얻은 유전체 필름의 전기적 특성을 CVmap 92 시스템을 사용하여 측정하였다(C-V 및 I-V 측정). 유전 상수는 Hg 프로브(probe)를 지닌 MIS 구조에 의해 측정하여 3.69의 값을 얻었다.
기계적 특성을 계장화 압입(instrumented indentation)용 기준에 기초한 나노압입(nanoindentation) 방법, ASTM E2546 및 ISO 14577에 의해 측정하였다. 모듈러스 및 경도는 각각 3.35 GPa 및 0.19 GPa이었다.
실시예 2:
84.12 g의 90:10 메틸:페닐 실세스퀴옥산 폴리머(PGMEA 중 69.0% 고형분), 12.90 g의 폴리(비닐피롤리돈-코-비닐아세테이트)폴리머(PGME 중 50% 고형분)(Sigma-Aldrich사로부터 구입 가능), 및 1.61 g의 Irgacure-108 (2-메틸-α-[2-[[옥틸술포닐)옥시]이미노]-3(2H)-티에닐리덴]-벤젠 아세토니트릴(MIBK 중 20.0% 고형분)을 PGME에 용해시켜 64.6%의 총 고형분을 달성하였다.
결과로 얻어진 감광성 유전체 조성물을 여과하고 0.2032 m(8 인치) 규소 웨이퍼 상에 스핀 코팅시킨 후 30초 동안 140℃에서 소프트 베이킹시켜 포토레지스트 필름을 얻었다. 이후 동일한 제형을 상기 언급된 웨이퍼의 상단부에 다시 코팅하고(이중 코팅) 300초 동안 140℃에서 소프트 베이킹시켜 150 ㎛ 초과의 필름 두께를 갖는 포토레지스트 필름을 얻었다. 포토레지스트 필름을 수스 얼라이너(Suss Aligner) 상에서 광대역 광에 패턴형으로 노광시키고, 80초 동안 80℃에서 노광후 베이킹시켰다. 이어서 2.38 중량% TMAH 현상제를 사용하여 4회의 90초 퍼들 현상 단계에 의해 130 ㎛의 필름 두께를 갖는 피처를 해상하였다. 광대역 리소그래피로 노광된 유전체 이미지의 X-SEM 결과물은, 30 ㎛ 표적 CD를 지니는 고립형 트렌치 패턴뿐 아니라 20 ㎛ 표적 CD를 지니는 고립형 트렌치 패턴이 또한 해상될 수 있음을 보였다.
이후 결과로 생성된 패턴화된 유전체 필름을 질소 분위기 하의 핫플레이트 상에서 60분 동안 200℃에서 베이킹하였다. 칩 상의 경화된 유전체 필름을 X-SEM으로 조사하였다. 균열은 관찰되지 않았다. 추가로, CD 수축이나 패턴 프로필 변화도 관찰되지 않았다.
실시예 3:
164.39 g의 90:10 메틸:페닐 실세스퀴옥산 폴리머(PGMEA 중 69.0% 고형분), 11.94 g의 폴리비닐피롤리돈 폴리머(PGME 중 50% 고형분)(Sigma-Aldrich사로부터 구입 가능), 및 11.94 g의 Irgacure-108(MIBK 중 20.0% 고형분)을 PGME에 용해시켜 60%의 총 고형분을 달성하였다. 결과로 얻어진 감광성 유전체 조성물을 여과하고 0.1524 m(6 인치) 규소 웨이퍼 상에 스핀 코팅시킨 후 60초 동안 95℃에서 소프트 베이킹시켜 10.5 ㎛의 필름 두께를 지니는 포토레지스트 필름을 얻었다. 포토레지스트 필름들을 울트라테크 스텝퍼 상에서 광대역 광에 패턴형으로 노광시키고, 각각 90℃/60초, 95℃/60초, 및 100℃/60초에서 노광후 베이킹시켰다. 이어서 2.38 중량% TMAH 현상제를 사용하여 30초 퍼들 현상 단계에 의해 약 10 ㎛의 필름 두께를 갖는 피처를 해상하였다. 이후 결과로 생성된 패턴화된 유전체 필름을 질소 분위기 하의 핫플레이트 상에서 60분간 250℃에서 베이킹시켰다.
칩 상의 경화된 유전체 필름을 X-SEM으로 조사하였다. 균열은 관찰되지 않았다. 추가로, CD 수축이나 패턴 프로필 변화도 관찰되지 않았다. 10 미크론 CD를 지니는 1:1 밀집(dense) 트렌치 패턴이 용이하게 해상되었다. 이후 결과로 생성된 패턴화된 유전체 필름을 질소 분위기 하의 핫플레이트 상에서 60분 동안 250℃에서 베이킹하였다. 칩 상의 경화된 유전체 필름을 X-SEM으로 조사하였다. 균열은 관찰되지 않았다. 추가로, CD 수축이나 패턴 프로필 변화도 관찰되지 않았다.
실시예 4:
252.06 g의 90:10 메틸:페닐 실세스퀴옥산 폴리머(PGMEA 중 71.30% 고형분), 39.94 g의 폴리(비닐피롤리돈-코-비닐아세테이트) 폴리머(PGME 중 50% 고형분)(Sigma-Aldrich사로부터 구입 가능), 및 9.98 g의 Irgacure-108(MIBK 중 20.0% 고형분)을 PGMEA에 용해시켜 66.8%의 총 고형분을 달성하였다. 결과로 얻어진 감광성 유전체 조성물을 여과하고 0.1524 m(6 인치) 규소 웨이퍼 상에 스핀 코팅시킨 후 300초 동안 140℃에서 소프트 베이킹하고 이어서 또 다른 300초 동안 140℃에서의 코팅 및 소프트 베이킹에 의해 약 80 ㎛의 필름 두께를 지니는 필름을 얻었다. 포토레지스트 필름을 수스 얼라이너 상에서 광대역 광에 패턴형으로 노광시키고, 80초 동안 80℃에서 노광후 베이킹시켰다. 이어서 2.38 중량% 테트라메틸암모늄 히드록시드(TMAH) 현상제를 사용하여 60초 퍼들 현상에 의해 약 80 ㎛의 필름 두께를 갖는 40 미크론의 선의 피처를 해상하였다. 결과로 생성된 패턴화된 유전체 이미지 2개를 질소 분위기 하의 핫플레이트 상에서 온도 램핑(temperature ramping)과 함께 60분간 200℃에서 베이킹시켰다. 칩 상의 경화된 유전체 필름을 현미경으로 조사하였으며 균열은 관찰되지 않았다.
비교예:
21.25 g의 90:10 메틸:페닐 실세스퀴옥산 폴리머(PGMEA 중 69.0% 고형분), 및 0.146 g의 NIN PAG, (N-히드록시나프탈이미드 노나플레이트, 일본 도쿄 소재 Toyo Gosei사로부터 구입 가능)을 PGMEA에 용해시켜 59%의 총 고형분을 달성하였다.
결과로 얻어진 감광성 유전체 조성물을 여과하고 6 인치 규소 웨이퍼 상에 스핀 코팅시킨 후 120초 동안 90℃에서 소프트 베이킹시켜 10.1 ㎛의 필름 두께를 지니는 포토레지스트 필름을 얻었다. 포토레지스트 필름을 ASML 스텝퍼 상에서 i-선(365 nm) 광에 패턴형으로 노광시키고, 30초 동안 60℃에서 노광후 베이킹시켰다. 이어서 2.38 중량% TMAH 현상제를 사용하여 30초 퍼들 현상 단계에 의해 약 9.6 ㎛의 필름 두께를 갖는 피처를 해상하였다.
이후 결과로 생성된 패턴화된 유전체 필름을 질소 분위기 하의 핫플레이트 상에서 30분간 250℃에서 각각 베이킹시켰다. 칩 상의 경화된 유전체 필름을 광학 현미경으로 조사하였다. 심각한 균열들이 관찰되었다. 따라서, 단지 9.6 ㎛인 필름이 심각하게 균열된 필름을 제공하였고 따라서 더 두꺼운 코팅은 이 조성물에 리소그래피적으로 유용하지 않았다.

Claims (16)

  1. 감광성(photoimageable) 유전체 조성물로서,
    (a) 하기 화학식의 1 이상의 반복 단위를 갖고, 복수의 작용성 말단기를 가지며, 가교결합 촉매와 가교결합될 수 있는 알칼리 가용성 폴리머,
    Figure 112018064907526-pct00003

    [상기 식에서 R1은 비가수분해성 기이고 n은 2 내지 3 범위의 정수임]
    (b) 알칼리 가용성 폴리머와 혼화 가능한 수용성 유기 폴리머, 및
    (c) 광산 발생제 및 광염기 발생제 중 1 이상으로부터 선택되는 가교결합 촉매
    를 포함하고,
    상기 수용성 유기 폴리머는 비닐 락탐, 비닐 락톤, 비닐이미다졸, 비닐피롤리돈, 비닐 알코올, 비닐 아세테이트, 또는 이들의 코폴리머로부터 유도된 모노머 단위를 포함하는 것인 감광성 유전체 조성물.
  2. 제1항에 있어서, 비가수분해성 기는 수소, 치환된 알킬, 알킬, 치환된 모노시클로알킬, 모노시클로알킬, 폴리시클로알킬, 치환된 폴리시클로알킬, 치환된 모노시클릭아릴, 모노시클릭 아릴, 치환된 폴리시클릭 아릴, 폴리시클릭아릴, 치환된 모노시클릭 아르알킬, 모노시클릭 아르알킬, 폴리시클릭 아르알킬, 치환된 폴리시클릭 아르알킬, 카르복시 및 카르비놀로부터 선택되는 것인 감광성 유전체 조성물.
  3. 제1항에 있어서, 작용성 말단기는 히드록시, 알콕시, 에폭시, 옥세탄, 비닐 에테르, 말레이미드, 페놀, (메트)아크릴레이트, 티올, 카르복실산 에스테르, 카르보닐 작용기 및 술포네이트 에스테르로부터 선택되는 것인 감광성 유전체 조성물.
  4. 제1항에 있어서, 수용성 유기 폴리머는 100℃ 초과의 유리 전이 온도 및 10 미만의 유전 상수를 갖는 것인 감광성 유전체 조성물.
  5. 제1항에 있어서, 알칼리 가용성 폴리머와 혼화 가능한 수용성 유기 폴리머는 0.1 중량% 내지 35 중량%의 양으로 조성물 내에 존재하는 것인 감광성 유전체 조성물.
  6. 제1항에 있어서, 퀀쳐(quencher)를 추가로 포함하는 감광성 유전체 조성물.
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
KR1020147018889A 2012-02-09 2013-02-08 저 유전체 감광성 조성물 및 이로부터 제조된 전자 디바이스 KR101904582B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/369,809 2012-02-09
US13/369,809 US8871425B2 (en) 2012-02-09 2012-02-09 Low dielectric photoimageable compositions and electronic devices made therefrom
PCT/IB2013/000170 WO2013117989A1 (en) 2012-02-09 2013-02-08 Low dielectric photoimageable compositions and electronic devices made therefrom

Publications (2)

Publication Number Publication Date
KR20140117391A KR20140117391A (ko) 2014-10-07
KR101904582B1 true KR101904582B1 (ko) 2018-10-04

Family

ID=47913498

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147018889A KR101904582B1 (ko) 2012-02-09 2013-02-08 저 유전체 감광성 조성물 및 이로부터 제조된 전자 디바이스

Country Status (9)

Country Link
US (1) US8871425B2 (ko)
EP (1) EP2812399B1 (ko)
JP (1) JP5941559B2 (ko)
KR (1) KR101904582B1 (ko)
CN (1) CN104093784B (ko)
PH (1) PH12014501731B1 (ko)
SG (1) SG11201403059WA (ko)
TW (1) TWI544280B (ko)
WO (1) WO2013117989A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6213270B2 (ja) * 2014-01-31 2017-10-18 住友化学株式会社 Uv−led用ポリシルセスキオキサン系封止材組成物及びそのための溶媒の使用
CN104282250B (zh) * 2014-10-24 2016-08-31 深圳市华星光电技术有限公司 Tft 中mis 结构设计的控制方法及系统
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
US10254650B2 (en) 2016-06-29 2019-04-09 Honeywell International Inc. Low temperature SC1 strippable oxysilane-containing coatings
JP2019535840A (ja) 2016-08-22 2019-12-12 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツングMerck Patent Gesellschaft mit beschraenkter Haftung 光学デバイスのための混合物
WO2018050526A1 (en) 2016-09-13 2018-03-22 Merck Patent Gmbh Light luminescent particle
US10544330B2 (en) * 2017-01-20 2020-01-28 Honeywell International Inc. Gap filling dielectric materials

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4442197A (en) 1982-01-11 1984-04-10 General Electric Company Photocurable compositions
ATE37242T1 (de) 1984-02-10 1988-09-15 Ciba Geigy Ag Verfahren zur herstellung einer schutzschicht oder einer reliefabbildung.
US4603101A (en) 1985-09-27 1986-07-29 General Electric Company Photoresist compositions containing t-substituted organomethyl vinylaryl ether materials
JPH06148895A (ja) 1992-11-06 1994-05-27 Toray Ind Inc 感光性樹脂組成物およびこれを用いたパターン形成方法
TW526390B (en) 1997-06-26 2003-04-01 Shinetsu Chemical Co Resist compositions
US5962067A (en) * 1997-09-09 1999-10-05 Lucent Technologies Inc. Method for coating an article with a ladder siloxane polymer and coated article
TW588072B (en) 2000-10-10 2004-05-21 Shipley Co Llc Antireflective porogens
TW594416B (en) * 2001-05-08 2004-06-21 Shipley Co Llc Photoimageable composition
JP2004165613A (ja) 2002-06-03 2004-06-10 Shipley Co Llc 電子デバイスの製造
US7041748B2 (en) * 2003-01-08 2006-05-09 International Business Machines Corporation Patternable low dielectric constant materials and their use in ULSI interconnection
JP5102428B2 (ja) * 2003-11-25 2012-12-19 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 導波路組成物およびこれから形成された導波路
DE602005001341T2 (de) * 2004-04-14 2008-02-21 Rohm and Haas Electronic Materials, L.L.C., Marlborough Zusammensetzungen für Wellenleiter und daraus hergestellte Wellenleiter
JP4491283B2 (ja) * 2004-06-10 2010-06-30 信越化学工業株式会社 反射防止膜形成用組成物を用いたパターン形成方法
EP1940989B1 (en) * 2005-09-29 2010-12-15 Dow Corning Corporation Method of releasing high temperature films and/or devices from metallic substrates
US8013077B2 (en) * 2007-03-02 2011-09-06 Fujifilm Corporation Insulating film forming composition and production method of insulating film
US7754510B2 (en) 2007-04-02 2010-07-13 Xerox Corporation Phase-separated dielectric structure fabrication process
JP2009199061A (ja) * 2007-11-12 2009-09-03 Rohm & Haas Electronic Materials Llc オーバーコートされたフォトレジストと共に用いるためのコーティング組成物
TWI384025B (zh) * 2009-04-27 2013-02-01 Ind Tech Res Inst 聚乙烯醇膜組成物及包含其之偏光板
US8431670B2 (en) 2009-08-31 2013-04-30 International Business Machines Corporation Photo-patternable dielectric materials and formulations and methods of use
US8196655B2 (en) * 2009-08-31 2012-06-12 Halliburton Energy Services, Inc. Selective placement of conformance treatments in multi-zone well completions
US8389663B2 (en) * 2009-10-08 2013-03-05 International Business Machines Corporation Photo-patternable dielectric materials curable to porous dielectric materials, formulations, precursors and methods of use thereof
JP2011154214A (ja) * 2010-01-27 2011-08-11 Jsr Corp ネガ型感放射線性組成物、硬化パターン形成方法及び硬化パターン
JP5544239B2 (ja) * 2010-07-29 2014-07-09 富士フイルム株式会社 重合性組成物
KR20140007405A (ko) * 2011-03-30 2014-01-17 제온 코포레이션 수지 조성물 및 반도체 소자 기판

Also Published As

Publication number Publication date
TW201341963A (zh) 2013-10-16
CN104093784B (zh) 2016-09-21
SG11201403059WA (en) 2014-07-30
PH12014501731A1 (en) 2014-11-17
CN104093784A (zh) 2014-10-08
US8871425B2 (en) 2014-10-28
US20130209754A1 (en) 2013-08-15
JP2015508184A (ja) 2015-03-16
EP2812399A1 (en) 2014-12-17
EP2812399B1 (en) 2016-04-20
WO2013117989A1 (en) 2013-08-15
KR20140117391A (ko) 2014-10-07
PH12014501731B1 (en) 2014-11-17
JP5941559B2 (ja) 2016-06-29
TWI544280B (zh) 2016-08-01

Similar Documents

Publication Publication Date Title
KR101904582B1 (ko) 저 유전체 감광성 조성물 및 이로부터 제조된 전자 디바이스
TWI642698B (zh) 作為硬光罩及填充材料之穩定金屬化合物、其組合物及其使用方法
TWI443457B (zh) 包含鹼反應性成分之組成物及光微影製程
KR101366792B1 (ko) 폴리실란화합물을 포함하는 리소그래피용 하층막 형성 조성물
US9916973B2 (en) Photolithographic methods
KR101858276B1 (ko) 포토레지스트 패턴 상에 코팅하기 위한 조성물
KR101979612B1 (ko) 염기-반응성 성분을 포함하는 조성물 및 포토리소그래피 공정
JP5708938B2 (ja) 感光性レジスト下層膜形成組成物及びレジストパターンの形成方法
KR101943023B1 (ko) 규소 함유 euv 레지스트 하층막 형성 조성물
EP1806621A1 (en) Coating compositions for photoresists
KR20150008065A (ko) 첨가제를 포함하는 규소함유 euv레지스트 하층막 형성 조성물
KR101713689B1 (ko) 패턴 반전막 형성용 조성물 및 반전 패턴 형성 방법
JP2008298862A (ja) パターン形成方法及びそれに用いる樹脂組成物
KR101916756B1 (ko) 헤테로-치환된 카보사이클릭 아릴 성분을 포함하는 조성물 및 포토리소그래피 공정
KR20120078660A (ko) 염기-반응성 성분을 포함하는 조성물 및 포토리소그래피 방법
JP6232406B2 (ja) フォトリソグラフィー方法
CN110658678B (zh) 光致抗蚀剂面漆组合物及加工光致抗蚀剂组合物的方法
JP5534205B2 (ja) 感光性レジスト下層膜形成組成物及びレジストパターンの形成方法
US20220317570A1 (en) Negative photosensitive resin composition, patterning process, method for forming cured film, interlayer insulation film, surface protective film, and electronic component
KR20220079828A (ko) 레지스트 하층막 형성 조성물

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant