KR101690063B1 - 반도체 소자를 제조하기 위한 방법 및 표면 상에 원형 패턴을 형성하고 분할하기 위한 방법 - Google Patents

반도체 소자를 제조하기 위한 방법 및 표면 상에 원형 패턴을 형성하고 분할하기 위한 방법 Download PDF

Info

Publication number
KR101690063B1
KR101690063B1 KR1020090081187A KR20090081187A KR101690063B1 KR 101690063 B1 KR101690063 B1 KR 101690063B1 KR 1020090081187 A KR1020090081187 A KR 1020090081187A KR 20090081187 A KR20090081187 A KR 20090081187A KR 101690063 B1 KR101690063 B1 KR 101690063B1
Authority
KR
South Korea
Prior art keywords
shots
circular
pattern
charged particle
vsb
Prior art date
Application number
KR1020090081187A
Other languages
English (en)
Other versions
KR20100027072A (ko
Inventor
아키라 후지무라
마이클 투커
Original Assignee
디2에스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US12/202,364 external-priority patent/US7759026B2/en
Priority claimed from US12/473,241 external-priority patent/US7754401B2/en
Priority claimed from US12/540,321 external-priority patent/US8017288B2/en
Priority claimed from US12/540,322 external-priority patent/US8057970B2/en
Application filed by 디2에스, 인코포레이티드 filed Critical 디2에스, 인코포레이티드
Publication of KR20100027072A publication Critical patent/KR20100027072A/ko
Application granted granted Critical
Publication of KR101690063B1 publication Critical patent/KR101690063B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70216Mask projection systems
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • G03F1/78Patterning of masks by imaging by charged particle beam [CPB], e.g. electron beam patterning of masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

포토마스크 및 광학 리소그래피를 이용하여 반도체 소자를 제조하기 위한 방법이 개시되고, 이 경우 반도체 웨이퍼 상의 원형 패턴은 포토마스크 상의 원형 패턴을 이용함에 의해 형성되며, 이는 대전된 입자 빔 라이터를 이용하여 제조된다. 일 실시예에서, 다양한 크기의 원형 패턴은 대전된 입자 빔 조사량을 변경함에 의해 단일 캐릭터 투사(CP) 캐릭터를 이용하여 포토마스크 상에 형성되었다. 표면 상에 원형 패턴을 형성하기 위한 그리고 원형 패턴을 분할하기 위한 방법이 개시되고, 이는 변하는 조사량을 가진 원형 CP 캐릭터를 이용하여 또는 VSB 샷을 이용하여 이루어지고, 이 경우 복수의 VSB 샷의 결합은 원하는 패턴의 세트와 상이하다. 글리프를 생성하기 위한 방법도 개시되어 있고, 이 경우 하나 이상의 대전된 입자 빔 샷으로부터 초래되는 조사량 맵이 미리 계산된다.

Description

반도체 소자를 제조하기 위한 방법 및 표면 상에 원형 패턴을 형성하고 분할하기 위한 방법 {METHOD FOR FRACTURING AND FORMING CIRCULAR PATTERNS ON A SURFACE AND FOR MANUFACTURING A SEMICONDUCTOR DEVICE}
*관련 출원의 교차 참조
이 출원은 1) "반도체 소자를 제조하고 원형 패턴을 분할하기 위한 방법"이라는 명칭으로 2009년 8월 12일 출원된 미국 특허출원 제 12/540,321호; 2) "표면 상에 원형 패턴을 형성하기 위한 방법 및 시스템"이라는 명칭으로 2009년 8월 12일 출원된 미국 특허출원 제 12/540,322호; 3) "캐릭터 사출 입자 빔 리소그래피를 이용하여 레티클을 제조하기 위한 방법 및 시스템"이라는 명칭으로 2008년 9월 1일 출원된 미국 특허출원 제 12/202,364호; 4) "가변 형태의 빔 리소그래피를 이용하여 표면 및 직접 회로를 제조하기 위한 방법"이라는 명칭으로 2009년 5월 27일 출원된 미국 특허출원 제 12/473,241호; 그리고 5) "표면 및 직접 회로 상에 원형 패턴을 제조하기 위한 방법 및 시스템"이라는 명칭으로 2009년 7월 10일 출원된 미국 가특허출원 제 61/244,849호를 우선권으로 주장하고, 이들 모두는 여기서 참조로 인용된다.
본 발명은 리소그래피에 관한 것이고, 특히 대전된 입자 빔 리소그래피를 이용하여 레티클, 웨이퍼 또는 다른 표면과 같은 것일 수 있는 표면의 제조 및 설계에 관한 것이다.
직접 회로와 같은 반도체 소자의 생산 또는 제조에서, 광학 리소그래피는 반도체 소자를 제작하는데 이용될 수 있다. 광학 리소그래피는 프린팅 프로세스이고, 이 프로세스에서 레티클로 제조된 포토마스크 또는 리소그래피 마스크는 직접 회로를 만들기 위해 반도체 또는 실리콘 웨이퍼와 같은 기판으로 패턴을 전달하는데 이용된다. 다른 기판들은 평판 패널 디스플레이 또는 다른 레티클을 포함할 수 있다. 또한, 극단의 자외선(extreme ultraviolet; EUV) 또는 X-선 리소그래피는 광한 리소그래피의 유형으로 생각된다. 레티클 또는 복수의 레티클은 직접 회로의 개별층에 대응하는 회로 패턴을 함유할 수 있고, 이 패턴은 포토레지스트 또는 레지스트로서 알려진 복사-민감 물질층으로 코팅된 기판 상의 일정한 구역으로 이미지될 수 있다. 패턴화된 층이 일단 전달되면, 이 층은 에칭, 이온-주입(도핑), 금속화, 산화 및 폴리싱과 같은 다양한 다른 프로세스를 거칠 수 있다. 이러한 프로세스들은 기판에서 개별층을 피니쉬(finish)하는데 이용된다. 복수의 층이 필요하다면, 이후 전체 프로세스 또는 그의 변경이 각각의 새로운 층에 대해 반복될 것이다. 궁극적으로, 복수의 소자 또는 직접 회로의 조합이 기판 상에 존재할 것이다. 이러한 직접 회로는 다이싱(dicing) 또는 소잉(sawing)에 의해 서로 분리될 수 있고, 이후 개별 패키지 안으로 장착될 수 있다. 많은 일반적인 경우에, 기판 상의 패턴들은 디스플레이 픽셀 또는 자기 레코딩 헤드와 같은 인공물을 형성하는데 이용될 수 있다.
직접 회로와 같은 반도체 소자의 생산 또는 제조의 경우에, 마스크 없는 직접 라이트(markless direct write)가 반도체 소자들을 제작하는데 이용될 수도 있다. 마스크 없는 직접 라이트는 프린팅 프로세스이고, 이 프린팅 프로세스에서 대전된 입자 빔 리소그래피가 직접 회로를 생성하기 위해 반도체 도는 실리콘 웨이퍼와 같은 기판으로 패턴을 전달하는데 이용된다. 다른 기판은 평판 패덜 디스플레이, 나노-임프린팅(nano-imprinting)을 위한 임프린트 마스크 또는 레티클을 포함할 수 있다. 층의 원하는 패턴들은 표면 상에 직접 라이팅되고, 이 경우에 이는 기판이다. 패턴화된 층이 전달되면, 층은 에칭, 이온 주입(도핑), 금속화, 산화 및 폴리싱과 같은 다양한 다른 프로세스를 거칠 수 있다. 이러한 프로세스들은 기판에서 개별층을 피니쉬하는데 이용된다. 복수의 층이 필요하다면, 이후 전체 프로세스 또는 그 변형은 각각의 새로운 층에 대해 반복될 것이다. 층의 일부는 광학 리소그래피를 이용하여 라이팅될 수 있고, 나머지들은 동일한 기판을 제작하기 위해 마스크 없는 직접 라이트으로 라이팅될 수 있다. 궁극적으로, 복수의 소자 또는 직접 회로의 조합이 기판 상에서 존재할 것이다. 이러한 직접 회로는 이후 다이싱 또는 소잉에 의해 서로 분리되고 이후 개별 패키지로 장착된다. 더욱 일반적인 경우에, 표면 상의 패턴들은 디스플레이 픽셀 또는 자기 라이트 헤드와 같은 인공물을 형성하는데 이용될 수 있다.
반도체 제조에서, 신뢰성 있게 콘택들(contacts) 및 비아들(vias)을 제조하는 것을 어렵고 중요한데, 특히 광학 리소그래피가 80nm 반 피치(80nm half pitch)보다 작은 패턴을 제조하는데 이용될 때이며, 이 경우 반 피치는 최소 콘택 또는 비아 크기의 1/2 더하기 콘택 또는 비아 사이의 최소 필요 공간의 1/2이다. 콘택 및 비아는 하나의 층 상의 전도성 물질을 다른 층 상의 다른 전도성 물질로 연결시킨다. 현재 유행하는 기술 노드(nodes)보다 비교적 큰 옛날 기술의 노드에서, 웨이퍼 상의 사각형의 비아들 및 콘택들을 제조하려는 시도가 있었다. 사각형 콘택 및 비아들은 상부층에서의 전도성 물질 및 하부층에서의 전도성 물질 사이를 연결하는 구역의 양을 최대화하는 것이 바람직하다. 피쳐 크기의 감소와 함께 반도체 웨이퍼 상에 복수의 사각형 패턴들을 생성하는 것은 금지적으로 비싸지거나 또는 비실용적인 것이 되었다. 특히 80nm의 반 피치 및 그 미만의 경우, 반도체 제조자들은 웨이퍼 상에 거의 원형의 타겟을 형성하고, 위에서 볼 때, 이는 거의 실린더 형태의 콘택들 및 비아들을 생성하는 것이다. 원하는 반도체 형상을 특정하는 설계 데이터는 사각형으로서 원하는 형상을 특정한다. 그러나, 제조자들 그리고 설계자들은 광학 리소그래픽 프로세스의 제한들이 실제 결과적인 형상이 웨이퍼 상에서 거의 원형이 되도록 한다는 가정을 갖고 작업을 한다. 모든 형상에 대해서 이러한 영향의 일반화된 경우는 때때로 코너 라운딩(corner rounding)으로 일컬어진다.
설계 데이터에서 사각형으로서 콘택 및 비아를 특정하는 종래의 실행에 대한 중요한 장점은, 사각형 패턴들이 레티클 상에서 비교적 빠르게 형성될 수 있다는 점이다. 그러나, 레티클 및 포토마스크 상의 콘택들 및 비아들을 위한 사각형 패턴의 이용은 반도체 소자 상의 비아들 및 콘택들의 제조를 더욱 어렵게 만든다. 특히 80nm 미만의 반 피치들에 대해서와 같이 콘택들 및 비아들을 위한 포토마스크 상의 사각형 패턴들을 이용하는 것과 연관된 제조 어려움들을 제거하는 것이 유리할 것이다.
포토마스크 및 광학 리소그래피를 이용하여 반도체 소자를 제조하는 방법이 개시되어 있고, 이 경우 반도체 웨이퍼 상의 원형 패턴은 포토마스크 상의 원형 패턴을 이용하여 형성되고, 이는 대전된 입자 빔 라이터(writer)를 이용하여 제조된다. 일 실시예에서, 다양한 크기의 원형 패턴은, 대전된 입자 빔 조사량(dosage)을 변경시킴에 의해 단일 캐릭터 투사(charater projection; CP) 캐릭터를 이용하여 포트마스크 상에 형성되었다.
또한, 원형 패턴을 분할하기 위한 방법이 개시되고, 이는 원형 CP 캐릭터를 이용하여 또는 가변성 형상의 빔(VSB) 샷(variable shaped beam(VSB) shot)을 이용하여 이루어지며, 이 경우 복수의 VSB 샷의 결합(union)은 원하는 패턴의 세트와 상이하다.
캐릭터 투사(CP) 대전된 입자 빔 라이터를 이용하여 표면 상에 원형 패턴을 형성하기 위한 방법도 개시되고, 이 경우 상이한 크기의 원형 패턴은 조사량을 변경함에 의해 단일 CP 캐릭터를 이용하여 형성될 수 있다.
또한, 가변성 형상의 빔(VSB) 대전된 입자 빔 라이터를 이용하여 표면 상에 원형 패턴을 형성하는 방법도 개시되고, 이 경우 샷의 조사량은 변할 수 있으며, 샷의 결합은 타겟 패턴의 세트와 상이하다.
글리프 라이브러리(library of glyphs)를 이용하여 표면 상에 원형 패턴을 형성하기 위한 방법도 개시되고, 이 경우 글리프는 하나 이상의 대전된 입자 빔 샷으로부터 미리 계산된 조사량 맵(maps)이다.
본 발명의 이와 같은 장점들 그리고 다른 장점들은 첨부된 도면과 함께 이하의 구체적인 실시예를 고려하여 더욱 분명하게 나타날 것이다.
도 1은 광학 리소그래피를 이용하여 웨이퍼 상에 콘택 및 비아 패턴을 형성하기 위한 종래의 실시예를 도시한다. 광학 리소그래피 기계(100)는 복수의 직사각형 구멍 패턴(106)을 포함한 포토마스크(104)로 광학적 복사를 방출하는 조명 소스(102)를 포함한다. 광학 복사는 구멍 패턴(106)을 통해 그리고 하나 이상의 렌즈(108)를 통해 전도되고, 이에 의해 반도체 웨이퍼와 같은 표면(112) 상에 패턴(110)을 형성한다. 표면(112) 상의 패턴(110)은 일반적으로 포토마스크(104) 상의 구멍 패턴(106)과 비교할 때 그 크기가 감소된다. 80nm 반 피치보다 작은 패턴에 대해서와 같이 작은 콘택 및 비아 패턴을 위한 조명원(102)에 의해 생성된 복사 파장과 같은 광학 리소그래피 프로세스의 제한 때문에, 포토마스크 상의 사각형 패턴은 기판 상에 원형 또는 거의 원형의 패턴이 형성되도록 한다.
반도체 리소그래피에는 마스크 에러 인핸스먼트 팩터(mask error enhancement factor; MEEF)라고 불리는 중요한 개념이 있다. 포토마스크를 이용하는 일반적인 반도체 제조 프로세스에서, 포토마스크는 웨이퍼의 치수의 4배이다. 예를 들면, 표면 상의 50nm 타겟 형상은 포토마스크 상에서 200nm 형상으로 보인다. MEEF가 1.0이었다면, 포토마스크 상의 4nm 오프셋 에러는 웨이퍼 상에서 1nm 오프셋으로 옮겨진다. 그러나, 상호연결부 또는 와이어링층 상에서와 같이 라인 및 공간을 위한 일반적인 MEEF는 2이다. 콘택층에 대해, 일반적인 MEEF는 4이고, 이는 포토마스크 상의 4nm 오프셋 에러가 웨이퍼 상에서 4nm 오프셋으로 옮겨진다는 것을 의미한다. 80nm 반 피치 미만인 콘택층을 가진 향상된 기술의 노드에서, 10만큼 높은 MEEF가 투사될 수 있다. 이러한 경우에, 포토마스크 상의 4nm 오프셋은 웨이퍼 상에서 10nm 오프셋으로 옮겨진다. 따라서, 포토마스크, 및 특히 콘택층을 위한 포토마스크는 매우 정확할 필요가 있고, 이에 의해 표면 상에서의 MEEF-배가된(multiplied) 에러가 최대 허용되는 에러를 초과하지 않는다.
MEEF를 향상시키기 위한 일 공지된 방법은 소위 둘레 법칙(periment rule)이다. 이 둘레 법칙은, 주어진 둘러싸인 형상에 대해서, 형상의 구역에 대한 형상의 둘레의 높은비는 더 큰 MEEF를 초래한다. 반도체 제조에서, 마스크 상의 각각의 형상에 대한 총 에너지의 올바른(right) 양을 가진 레지스트를 노출시키는 것이 리소그래피 단계에서 가장 중요하다. 따라서, 각각의 패턴 또는 형상에 대해서, 정확성은 패턴 또는 형상의 다른 치수보다 총 구역에 대해서 더욱 중요하다. 반도체 제조 프로세스에서 에러의 다양한 소스는 둘레 상에서 작용하고, 이는 형상을 둘러싸는 에지의 세트이다. 이러한 에지(edges)는 원하는 위치와 비교하여 안으로 또는 바깥으로 이동할 수 있다. 구역에 대한 둘레의 비율이 비교적 클 때, 소위 1nm의 주어진 거리에 의해 안으로 이동하는 전체 둘레는 비율이 비교적 작았던 경우보다 큰 양만큼 둘러싸인 구역을 수축시킨다. 총 구역은 총 에너지이기 때문에, 그리고 총 에너지는 각각의 형상에 대해서 중요하기 때문에, 작은 비율이 모든 형상에 대해서 바람직하다. 기하학적 형상 중에서, 원은 어떠한 형상의 단위 구역당 가장 작은 둘레를 갖는다. 따라서, 원형 형상 또는 패턴이 비원형 형상보다 더 작은 MEEF를 가질 것이다. 거의 원형인 형상은 거의 최적인 MEEF를 가질 것이다.
도 2는 어떻게 콘택 또는 비아가 본 발명에 의해 실리콘 웨이퍼 상에서 생성될 수 있는지를 도시한다. 광학 리소그래피 기계(200)는 복수의 원형 구멍 패턴(206)을 포함한 포토마스크(204)로 광학 복사를 방출하는 조명원(202)을 포함한다. 광학 복사는 구멍 패턴(206) 및 하나 이상의 렌즈(208)를 통해서 전달되고, 이에 의해 반도체 웨이퍼와 같은 표면(212) 상에 패턴(210)을 형성한다. 상기 언급된 둘레 법칙에 의해, 포토마스크(204) 상에서 원형 또는 거의 원형의 구멍(206)을 이용하는 것은 도 1의 포토마스크(104) 상의 사각형 구멍(106)을 이용하는 것보다 낮은(뛰어난) MEEF를 초래한다.
오늘날 마스크 제작은, 전자 빔 마스크 라이터와 같은 대전된 입자 빔 마스크 라이터 또는 레이저계 마스크 라이터에 의해 실행된다. 80nm 반 피치 미만의 가장 작은 피쳐(features)를 갖는 가장 향상된 기술의 노드에 대한 오늘날의 생산 도구는 높은 전압(50 KeV 및 그 초과) 전자 건(gun)을 가진 가변성 형상의 빔(VSB) 기술을 이용하여 전자 빔 마스크 라이터를 이용하여 이루어진다. 종래의 레티클 또는 마스크 라이팅(writing)은 일정한 크기 한계(예를 들어 1nm 내지 1000nm 너비)의 45도 삼각형 및 구성 직사각형으로 모든 원하는 마스크 형상을 분할하는 단계를 포함하고, 이에 의해 모든 형상의 결합은 일정한 최소 문턱값(threshold) 내에서 원래의 형상을 갖고, 구성 형상은 오버랩되지 않는다. 분할된 형상들은 VSB 샷으로서의 전자 빔 마스크 라이터에 의해 개별적으로 기록된다. 레티클 라이팅은 일반적으로 복수의 패스들(passes)를 포함하고, 이에 의해 레티클 상의 주어진 형상이 기록되고 겹쳐서 기록된다. 일반적으로, 둘 내지 넷의 패스들이 레티클을 기록하는데 이용되고 이에 의해 에러를 평균화하며(average out error), 이에 의해 더욱 정확한 포토마스크의 생성이 가능하다. 종래에는 단일 패스 내에서 구성 형상들(constituent shapes)이 겹치지 않았다. 또한, 접촉하도록 설계된 일정한 VSB 사이에 미세한 갭이 있을 것이다. 실제로, 전자빔 마스크 라이터는 완전히 정확하지 않기 때문에, 이에 접촉하도록 설계된 일정한 VSB 샷이 약간 오버랩될 것이다. 전자빔 마스크 라이터의 위치 정확성 및 반도체 설계는 이러한 오버랩 및 갭에 의해 일어나는 문제점들을 피하도록 조심스럽게 조정된다. 일어나는 문제점들은 1nm 또는 그 미만의 작은 에러들에 대해서 특히 최소인데, 왜냐하면 전달되는 전자빔이 자연적인 블러링 반경(natural blurring radius)(대략 20-30nm 크기)을 가지므로 형상들의 드로우된 에지들(drawn edges)을 지나서 전달된 에너지의 가우시안 분포를 야기하기 때문이다. VSB 샷의 각각을 위한 조사량은 이후의 그리고 개별적인 단계에서 지정된다. 조사량은 셔터 스피드를 결정하고 또는 전자들이 표면으로 전달되는데 걸리는 시간을 결정한다. 근접 효과 보정(proximity effect correction) 및 다른 보정 수단은, 얼마의 조사량이 가능한 원래 원하는 포토마스크 형상에 근접한 결과적인 포토마스크 형상을 만드는데 각각의 VSB 샷에 인가되어야 하는지를 결정한다.
종래에는 하나의 VSB 샷이 사각형 콘택 또는 비아 패턴을 형성하는데 필요했다. 종래의 마스크 라이팅 기술을 이용하여 레티클 상에 원형 패턴을 형성하는 것은 많은 VSB 샷을 필요로 한다. VSB 샷의 숫자를 증가시키는 것은 레티클을 기록하는데 필요한 시간의 양에 직접 영향을 미치고, 이는 직접 포토마스크 비용으로 옮겨진다. 일반적인 직접 회로의 설계에 대해서 수백만의 콘택 및 비아 패턴들이 형성되어야 하기 때문에, 종래의 VSB 샷을 이용하여 레티클 상에 원형 콘택 또는 비아 패턴을 형성하는 것은 실제로 고려되지 않는다.
도 7은 복수의 오버랩되는 VSB 샷을 이용하는 본 발명에 의해 레티클과 같은 표면 상에 어떻게 작은 원형 패턴(700)이 형성될 수 있는지의 예를 도시한다. 도 7의 예에서 3개의 VSB 샷 - 직사각형 샷(702), 직사각형 샷(704), 및 사각형 샷(706)이 도시된다. 오버랩되는 샷의 이용은 종래의 방법으로 필요한 것보다 더 적은 VSB 샷으로 패턴이 기록되는 것을 가능하게 한다. 오버랩되는 샷의 기술은 특히 작은 원에 대해서 효과적이고, 이 경우 대전된 입자의 전방 스캐터링에 의해 야기된 대전된 입자 빔의 블러(blur), 쿨롱 효과(Coulomb effect), 그리고 다른 물리적, 화학적, 전자기적 효과는 원의 지름과 동일한 정도의 크기이다. 도 7에서 볼 수 있는 것처럼, 세 개의 VSB 샷, 샷(702), 샷(704), 샷(706)의 결합은 타겟 원형 패턴(700)과 동일하지 않다. 각각의 샷의 조사량은 "일반적인(normal)" VSB 조사량의 일부(fraction)로서 도시되고: 샷(702) 및 샷(704)은 일반보다 0.7배의 조사량을 가지며 샷(706)은 일반보다 0.6배의 조사량(a dosage of 0.6times normal)을 갖는다. 도시된 것처럼, 원(700)의 중앙(710)에서의 모든 샷으로부터 합계된 총 조사량은 일반보다 2.0배이다. 일정한 마스크 제작은 일반적인 조사량의 2.0 또는 두배와 같은 최대 조사량 한계를 갖는다. 일반보다 낮은 샷 조사량을 보상하기 위해, 샷(702, 704, 706)에 대한 VSB 샷 경계는 타겟 원(700)의 경계를 넘어서 연장된다. 대전된 입자 빔 시뮬레이션은 표면 상에 형성될 패턴을 계산하는데 이용될 수 있고, 이에 의해 결과적인 패턴이 타겟 원형 패턴(700)의 원하는 허용 한계(tolerance) 내에 있음을 검증한다.
도 8은 복수의 오버랩되지 않은 VSB 샷을 이용하여 본 발명에 의한 레티클과 같은 표면 상에 어떻게 작은 원형 패턴(802)이 형성될 수 있는지의 예를 도시한다. 이 예에서, 다섯개의 샷이 이용된다: 샷(804), 샷(806), 샷(808), 샷(810), 샷(812). 도시된 바와 같이, 샷(804, 806, 808, 810, 812)의 결합은 타겟 패턴(802)과 상이하다. 여전히 패턴을 채우기 위해 다섯개의 패턴의 이용은 종래의 방법과 비교하여 감소된 샷의 카운트를 나타내고, 이 경우에 샷은 가능한 가깝게 타겟 원형 패턴의 경계와 매치되도록 생성된다. 도 8의 예에서, 샷 경계들은 도 7에서와 같은 타겟 원형 패턴의 경계를 멀리 넘어서 연장하지 않는데, 왜냐하면 최대 조사량 한계를 초과하는 것에 대한 염려 없이 도 8의 개별적인 VSB 샷의 조사량은 도 7의 VSB 샷의 조사량보다 더 많게 만들어질 수 있기 때문이고, 이는 도 8의 예에서의 VSB 샷이 겹치지 않기 때문이다. 도 7의 예의 경우, 대전된 입자 빔 시뮬레이션은 표면 상에서 형성될 패턴을 계산하는데 이용될 수 있고, 이에 의해 결과적인 패턴이 타겟 원형 패턴(802)의 바람직한 허용 한계 내에 있는지를 검증한다.
도 3은 캐릭터 투사(CP) 능력을 가진 대전된 입자 빔 라이터(300)를 도시한다. 도시된 것처럼, 입자 또는 전자 빔 소스(302)는 제 1 마스크(308)에 형성된 제 1 구멍(306)으로 직사각형 형상(310)으로 형성될 수 있는 제 1 마스크(308)로 입자 또는 전자 빔(304)을 제공한다. 이후 직사각형 빔(310)은 제 2 마스크 또는 스텐실(312)로 향하고 이 스텐실(312)에 형성된 제 2 개구 또는 캐릭터(314)를 통해 통과한다. 캐릭터(314)를 통과하는 대전된 입자 빔(310)의 일부는 표면(326)으로 향하고 이 경우 이는 캐릭터(314)의 형상의 패턴(324)을 형성한다. 도 3의 예시적 실시예에서, 스텐실(312)은 3개의 원형의 다양한 크기의 캐릭터를 포함하는데 이는 각각 캐릭터(316), 캐릭터(318), 캐릭터(320)이다. 또한, 스텐실(312)은 VSB 샷을 위한 직사각형 구멍(322)을 포함하는데, 이에 의해 동일한 스텐실(312)을 이용하여 VSB 및 CP 샷의 생성을 가능하게 한다. 현재 이용 가능한 CP 대전된 입자 빔 시스템은 실리콘 웨이퍼와 같은 기판으로 직접 패턴을 형성하는데 이용될 수 있지만, 포토마스크의 생성을 위한 레티클을 기록하는데는 부적절하다. 캐릭터 투사(CP) 능력이 레티클을 위한 대전된 입자 빔 라이터 상에서 이용 가능한 경우더라도, 종래의 마스크 라이팅 방법 및 시스템은 스텐실(312) 상의 캐릭터(316), 캐릭터(318), 및 캐릭터(320)과 같은 스텐실 상의 원형의 CP 캐릭터들의 크기에 따라서 미리 정해진 지름의 원을 기록하는데에만 이용될 수 있었을 것이다. 종래의 방법을 이용할 경우, 대안적인 크기의 숫자는 스텐실 상에 위치할 수 있는 캐릭터의 숫자에 의해 제한될 것이다.
도 5A 및 5B는 샷 조사량을 변경시킴에 의해 어떻게 단일 CP 캐릭터가 표면 상에서 변하는 지름의 원을 형성하는데 이용될 수 있는 지의 예를 도시한다. 도 5A는 캐릭터(318)와 같은 원형의 CP 캐릭터를 이용하여 도 3에서 도시된 것과 같은 CP 대전된 입자 빔 라이터를 이용하여 표면 상에 형성될 수 있는 공칭(nominal) 원형 패턴(500)을 도시한다. 라인(502)은 원형 패턴(500)을 양분한다. 도 5B는 패턴(500)을 통한 라인(502)을 따른 조사량 분포를 도시한다. 수평축은 라인(502)을 따른 선형 위치에 대응하고, 수직축은 조사량을 도시한다. 3개의 조사량 분포가 도시되는데: 샷 조사량(502), 샷 조사량(506) 및 샷 조사량(508)이다. 조사량 커브의 각각은 대전된 입자 빔의 가우시안 분포를 도시한다. 도 5B는 레지스트 문턱값 레벨(520)을 도시하고, 이는 조사량 레벨이며 그 위로 패턴은 표면 상에서 기록될 것이다. 도시된 것처럼, 가장 큰 샷 조사량(504)은 크기의 패턴(510)을 기록할 것이고, 중간 샷 조사량(506)은 중간 크기의 패턴(512)을 기록할 것이며, 가장 작은 샷 조사량(508)은 가장 작은 크기의 패턴(514)을 기록할 것이다. 패턴은 원형이기 때문에, 크기 차이는 지름 차이이다. 따라서, 상이한 지름의 원들은 샷 조사량을 변경시킴에 의해 단일 CP 캐릭터를 이용하여 표면 상에 형성될 수 있다.
도 4는 복수의 변하는 크기의 원형 CP 캐릭터를 포함한 CP 스텐실의 예시적 실시예를 도시한다. 스텐실(402)은 5개의 상이한 크기의 원형 CP 캐릭터를 포함한다: 캐릭터(404), 캐릭터(406), 캐릭터(408), 캐릭터(410), 캐릭터(412). 또한, 스텐실(402)은 VSB 샷을 위한 직사각형 구멍(414)과 VSB 샷을 위한 삼각형 구멍(416)의 세트를 포함한다. 본 발명의 일정한 실시예에서, 스텐실(402)은 삼각형 구멍(416)을 포함하지 않을 수 있고, 오직 직사각형 및 원형 구멍들만을 포함할 수 있다. 각각의 원형 CP 캐릭터(404, 406, 408, 410, 412)는 상기에서 설명된 것과 같은 샷 조사량을 변경시킴에 의해 표면 상에서 지름의 범위의 원형 패턴을 형성할 수 있다. 스텐실 설계 동안 원형 CP 캐릭터의 크기를 적절하게 선택함에 의해, 크기의 넓은 범위의 원형 패턴들이 표면 상에 형성될 수 있다. 도 6은 적절한 크기를 갖는 5개의 원형 CP 캐릭터의 그룹이 어떻게 표면 상의 크기의 큰 범위의 원을 형성하는데 이용될 수 있는지의 예를 나타내는 챠트를 도시한다. 도 6의 예에서, CP 캐릭터 "A"는 크기의 범위(602)의 원형 패턴을 형성할 수 있다. CP 캐릭터 "B"는 크기의 범위(604)의 원형 패턴을 형성할 수 있다. CP 캐릭터 "C"는 크기의 범위(606)의 원형 패턴을 형성할 수 있다. CP 캐릭터 "D"는 크기의 범위(608)의 원형 패턴을 형성할 수 있다. CP 캐릭터 "E"는 크기의 범위(610)의 원형 패턴을 형성할 수 있다. 도시된 것처럼, 크기의 범위(602)는 크기의 범위(604)와 오버랩되고, 크기의 범위(604)는 크기의 범위(606)와 오버랩되며, 크기의 범위(606)는 크기의 범위(608)와 오버랩되고, 크기의 범위(608)는 크기의 범위(610)와 오버랩된다. 따라서, 총 범위(620)에서 어떠한 크기의 원형 패턴도 오직 5개의 CP 캐릭터를 이용하여 형성될 수 있다. 지름의 범위가 어떠한 중요한 정도로 오버랩되는 것이 반드시 필요한 것은 아니고 하나의 원형 CP 캐릭터로 형성될 수 있는 가장 큰 원이 적어도 다음으로 큰 원형 CP 캐릭터를 이용하여 형성될 수 있는 가장 작은 원만큼의 크기를 가지면 된다. 다른 실시예에서, 가능한 지름의 범위는 연속적일 필요는 없다. 스텐실(402) 상에서 캐릭터를 이용하여 형성될 수 있는 원형 패턴의 이용 가능한 크기는 복수의 불연속적 범위의 크기일 수 있다.
단일의 대전된 입자 빔 샷 또는 대전된 입자 빔 샷의 조합에 의해 표면 상에 생성되도록 알려진 조사량의 2차원 맵은 글리프(glyphs)라고 불린다. 각각의 글리프는 글리프를 포함하는 대전된 입자 빔 샷의 각각에 대해서 샷 조사량 정보 및 위치와 연관될 수 있다. 글리프 라이브러리는 미리 계산되고 분할 또는 마스크 데이터 준비 기능들에 이용 가능하도록 만들어질 수 있다. 글리프는 또한 파라미터화될 수 있다. 도 9는 파라미터화된 글리프에 의해 형성될 수 있는 패턴의 세트를 나타내는 표면 상의 원형 패턴의 예를 도시한다. 글리프(902)의 파라미터는 지름 "d"이고, 이 경우 "d"는 50 내지 100 유닛의 값일 수 있다. 일 실시예에서, 글리프는 원형 CP 캐릭터의 세트를 이용하여 계산될 수 있고, 이는 가변성 샷 조사량을 이용하여 50 내지 100 유닛의 크기 범위 내에서 원형 패턴을 나타내는 어떠한 조사량 맵을 생성할 수 있다.
직접 회로 설계에서 공통적인 것처럼, 원과 같은 2차원 형상은 위로부터 아래로 본(as viewed from top-down) 반도체 웨이퍼 상의 형상을 지칭한다. 콘택 및 비아의 경우에, 실제 3차원으로 제조된 형상은 실린더 형태 또는 거의 실린더 형태일 수 있다.
VSB 샷 또는 원형 CP 캐릭터를 이용하여 레티클과 같은 표면 상의 원을 형성하기 위해 여기서 설명된 방법들은 마스크 없는 직접 기록을 이용하여 실리콘 웨이퍼와 같은 기판 상에 직접 패턴을 형성하는데 이용될 수 있다. MEEF는 직접 라이팅을 위한 문제는 아니다.
본 명세서의 기술들은 또한 표면 상에 형성되는 원하는 패턴이 거의 원형일 때 이용될 수 있다. 도 11A는 콘택 또는 비아를 위한 원하는 마스크 패턴일 수 있는 거의 원형인 패턴(1102)을 도시한다. 이 패턴(1102)은 예를 들어 콘택 또는 비아 아래의 층에서 전도성 물질과 콘택 또는 비아 위의 층에서의 전도성 물질 사이에서 최대 콘택 구역 및 MEEF 사이의 바람직한 교환(trade-off)일 수 있다. 도 11B는 이 예에서 오버랩되지 않는 VSB 샷인 VSB 샷의 5개의 샷 그룹(1104)을 도시하고, 이는 적절한 조사량으로 원하는 패턴(102)에 가까운 패턴을 표면 상에 기록할 수 있다. 샷 그룹(1104)은 샷(1110), 샷(1112), 샷(1114), 샷(1116) 및 샷(1118)로 이루어지고, 이 예시적 실시예에서 이들은 상이한 폭 및 높이를 갖는 직사각형 샷이다. 샷 그룹(1104)의 샷의 조사량은 서로에 대해 변경될 수 있다. 표면을 코팅하는 레지스트 상의 기록된 패턴은 미리 정해진 허용 한계 내에서의 형상(1102)과 동등한 형상(1120)이다. 이 예는 어떻게 거의 원형의 패턴이 이 명세서의 기술로 형성될 수 있는 지를 도시한다.
표면 상의 원들의 형성은 다각형과 같은 비원형 형상에 의해 근사될 수 있다. 원이 실리콘 웨이퍼와 같은 기판 상에 또는 표면 상에서 요구되는 경우에, 그 결과는 원을 거의 닮은 굽은선형 형상(curvilinear shape)과 같은 거의 원형일 수 있다.
도 10은 광학 리소그래피를 이용하여 실리콘 웨이퍼 상의 직접 회로와 같은 기판을 제작하는데 이용되는 표면을 제조하기 위한 본 발명의 명세서의 실시예의 개념 흐름도(1000)이다. 이 프로세스를 위한 입력은 포토마스크 상에 형성되는 원하는 패턴의 세트(1002)이다. 원하는 패턴의 세트(1002)는 입력 장치에 의해 수신되는 바람직한 원형 패턴의 세트를 포함할 수 있다. 단계(1004)는 마스크 데이터 준비(MDP) 단계이다. MDP 단계(1004)는, 샷 오버랩이 허용될 수 있거나 또는 허용되지 않을 수 있고, 비정상(other-than-normal) 조사량 할당이 허용되는, 분할 작동을 포함할 수 있다. 분할은 VSB 샷의 세트를 결정하는 단계를 포함할 수 있거나, 또는 CP 스텐실 정보(1006)를 이용하여 CP 캐릭터 및 샷 조사량을 결정하는 단계를 포함할 수 있고, 또는 VSB 및 CP 샷의 조합을 결정하는 단계를 포함할 수 있다. 또한, MDP 단계(1004)는 원하는 패턴을 매치시키기 위해 글리프 라이브러리(1008)로부터 하나 이상의 글리프를 선택하는 단계를 포함할 수 있다. 선택된 글리프는 파라미터화된 글리프를 포함할 수 있다. 또한, MDP 단계(1004)는 각각의 원하는 패턴에 대해 이용하기 위한 최적의 방법을 결정하는 작동을 포함할 수 있다 - VSB 샷, CP 캐릭터 샷, 또는 글리프. 예를 들면, 최적화 기준은 샷 카운트 또는 대전된 입자 빔 시스템 라이팅 시간을 최소화시키도록 될 수 있다. 또한, MDP 단계(1004)는 샷의 세트에 의해 표면 상에 형성될 패턴을 계산하도록 입자 빔 시뮬레이션을 이용하는 단계를 포함할 수 있고, 계산된 패턴이 예정된 허용 한계를 넘는 만큼 원하는 패턴과 상이한 경우 패턴을 다시 계산하는 단계와 샷의 세트를 교정하는 단계를 포함할 수 있다. 입자 빔 시뮬레이션은 전방 스캐터링, 레지스트 확산, 쿨롱 효과, 후방 스캐터링, 로딩(loading), 포깅(fogging), 및 에칭 시뮬레이션 중 어느 것을 포함할 수 있고, 대전된 입자 빔 시스템 및 프로세스 정보(1010)를 이용할 수 있다. MDP 단계(1004)는 출력 소자로 VSB 및 CP 샷의 조합된 리스트, 그리고 글리프로부터 샷을 포함한 결정된 샷 리스트(1012)를 출력한다. 샷 리스트(1012)의 샷은 조사량 정보를 포함한다. 단계(1014)에서, 근접 효과 보정(PEC) 및/또는 다른 보정이 수행될 수 있거나 또는 보정이 빠른 어림값(earlier estimates)으로부터 다듬어질(refined) 수 있다. 단계(1014)는 입력으로서 샷 리스트(1012)를 이용하고 최종 샷 리스트(1016)를 생산하며, 여기서 샷 조사량이 조정된다. 최종 샷 리스트(1016)는 레티클을 코팅하는 레지스트를 노출시키기 위한 대전된 입자 빔 시스템(1018)에 의해 이용되고, 이에 의해 레지스트 상의 패턴(1020)의 세트를 형성한다. 다양한 프로세싱 단계(1022) 이후, 레티클은 포토마스크(1024)로 변형된다. 포토마스크(1024)는 실리콘 웨이퍼와 같은 기판 위로 포토마스크(1024) 상의 원형 패턴과 같은 원하는 패턴의 세트를 전달하기 위해 광학 리소그래피 기계(1026)에서 이용되고, 이에 의해 웨이퍼 이미지(1028)를 만들며 이로부터 실리콘 웨이퍼가 만들어진다.
도 10에서 글리프 생성 단계(1030)는 가능하게 다양한 조사량을 가진 VSB 샷의 세트로부터 또는 특별한 조사량을 가진 CP 캐릭터 샷으로부터 조사량 맵을 계산한다. 글리프 생성 단계(1030)는 CP 스텐실 정보(1006)를 이용한다. CP 스텐실 정보는 복수의 상이한 크기의 원형 CP 캐릭터에 대한 정보를 포함할 수 있다. 글리프 생성 단계(1030)는 또한 글리프를 계산하기 위해 대전된 입자 빔 시뮬레이션을 이용하는 단계를 포함할 수 있다. 글리프의 입자 빔 시뮬레이션은 전방 스캐터링, 레지스트 확산, 쿨롱 효과, 및 에칭 시뮬레이션 중 어느 것을 포함할 수 있고, 대전된 입자 빔 시스템 및 프로세스 정보(1010)를 이용할 수 있다. 글리프 생성 단계(1030)는 또한 파라미터화된 글리프를 생성하기 위해 글리프의 세트의 계산을 포함할 수 있다.
이 명세서에서 설명된 다양한 유동들은 계산 장치로서 적절한 컴퓨터 소프트웨어로 일반적인 목적의 컴퓨터를 이용하여 실행될 수 있다. 필요한 계산의 큰 양에 의해, 복수의 컴퓨터 또는 프로세서 코어가 평행하게 이용될 수도 있다. 일 실시예에서, 계산은 평행한 프로세싱을 지지하도록 유동에서 하나 이상의 계산-집약적인(computation-intensive) 단계들을 위한 복수의 2차원 기하학적 영역으로 다시 나뉘어질 수 있다. 다른 실시예에서, 단일로 또는 복수로 이용되는 특별한 목적의 하드웨어 장치가 일반적인 목적의 컴퓨터 또는 프로세서 코어를 이용하는 것보다 더 빠른 속도로 하나 이상의 단계의 계산을 수행하는데 이용될 수 있다. 이 명세서에서 설명된 최적화 및 시뮬레이션 프로세스들은 시뮬레이트된 어닐링과 같은 반복적인 최적화 프로세스를 포함할 수 있고, 또는 반복적인 향상 없이 단독으로 건설적이거나 갈망하거나(greedy), 결정적이거나(deterministic) 또는 다른 프로세스를 구성할 수 있다.
원들에 대한 이 명세서의 모든 참조들은 거의 원형을 포함하는 것으로 해석되어야 한다. 유사하게, 원형 패턴, 원형 구멍, 원형 캐릭터, 또는 원형 CP 캐릭터에 대한 모든 참조는 거의 원형의 패턴, 구멍, 캐릭터, 또는 CP 캐릭터를 포함하는 것으로 해석되어야 한다. 또한, 실린더에 대한 모든 참조는 거의-실린더을 포함하는 것으로 해석되어야 하고, 실린더형에 대한 모든 참조는 거의 실린더형을 포함하는 것으로 해석되어야 한다.
본 명세서는 특정 실시예에 대해서 상세하게 설명되었지만, 이전의 내용을 이해할 때 당업자는 이 실시예에 대한 변경, 변형 그리고 동등물을 쉽게 인식할 수 있다. 마스크 데이터 준비 또는 분할을 위한 시스템 및 방법 또는 직접 회로를 제조하기 위한 방법 또는 표면 상에 원형 패턴을 제조하기 위한 방법 및 시스템에 대한 이러한 그리고 다른 변경 및 변형은 첨부된 청구항에서 더욱 구체적으로 설명되는 본 발명의 사상 및 범위로부터 벗어나지 아니한 채로 당업자에 의해 실행될 수 있다. 또한, 당업자는 이전의 상세한 설명이 예에 지나지 아니하며 이에 의해 제한할 의도가 아님을 이해할 것이다. 따라서, 본 발명은 첨부된 청구항 및 그 동등물의 범위 내에서 가능한 이러한 변경 및 변형을 커버하는 것이다.
도 1은 웨이퍼 상의 콘택 또는 비아와 같은 원형 패턴을 형성하는 종래의 방법을 도시한다.
도 2는 본 발명에 의해 웨이퍼 상에 콘택 또는 비아와 같은 원형 패턴을 형성하는 방법을 도시한다.
도 3은 캐릭터 투사(CP) 능력을 가진 대전된 입자 빔 라이터를 도시한다.
도 4는 복수의 원형 캐릭터를 포함한 캐릭터 투사 스텐실을 도시한다.
도 5A는 원형 캐릭터 투사 캐릭터의 샷에 의해 형성된 패턴을 도시한다.
도 5B는 도 5A의 캐릭터 투사 샷에 의해 기록된 표면 상의 패턴의 크기 상의 변하는 조사량의 영향을 도시한다.
도 6은 원형 캐릭터 투사 캐릭터의 세트를 이용하여 표면 상에 형성될 수 있는 원형 패턴의 지름의 범위를 도시하는 챠트를 도시한다.
도 7은 어떻게 오버랩되는 VSP 샷이 원형 패턴을 기록하는데 이용될 수 있는지를 도시한다.
도 8은 어떻게 오버랩되지 않은 VSP 샷이 원형 패턴을 기록하는데 이용될 수 있는지를 도시한다.
도 9는 파라미터화된 글리프를 이용하여 표면 상에 생성될 수 있는 원형 패턴을 도시한다.
도 10은 본 발명의 예시적 방법을 이용하여 직접 회로를 제작하고 레티클을 제조하는 개념 흐름도를 도시한다.
도 11A는 바람직한 거의 원형의 패턴을 도시한다.
도 11B는 도 11A의 패턴을 형성할 수 있는 오버랩되지 않은 VSP 샷의 세트를 도시한다.

Claims (37)

  1. 기판 상에 반도체 소자를 제조하기 위한 방법으로서,
    대전된 입자 빔 시스템을 이용하여 제조되었으며 복수의 원형 패턴을 포함하는 포토마스크를 제공하는 단계; 및
    상기 포토마스크 내 원형 패턴을 이용하여 상기 기판 상에 복수의 원형 패턴을 형성하도록 광학 리소그래피를 이용하는 단계를 포함하고,
    상기 포토마스크 상의 원형 패턴을 이용하는 것에 의해 상기 포토마스크 상의 사각형 패턴을 이용하는 것에 비하여 마스크 오차 증대 계수(MEEF: mask error enhancemnet factor)가 감소되고,
    상기 포토마스크 상의 원형 패턴은 상기 대전된 입자 빔 시스템으로부터의 복수의 가변성 형상의 빔(VSB: variable shaped beam) 샷(shots)을 이용하여 제조되어진 것이고, 복수의 샷 내의 샷들은 서로 오버랩되도록 허용되고, 상기 복수의 샷의 결합(union)은 상기 포토마스크 상의 원형 패턴과 상이하고, 상기 복수의 샷 내의 샷들의 조사량(dosage)은 서로에 대해 변경되는,
    기판 상에 반도체 소자를 제조하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 기판 상의 복수의 원형 패턴이 상기 반도체 소자를 위한 콘택(contacts) 또는 비아(vias)에 대한 패턴을 포함하는,
    기판 상에 반도체 소자를 제조하기 위한 방법.
  3. 대전된 입자 빔 리소그래피를 위한 마스크 데이터 준비 방법으로서,
    표면 상에 원으로 형성될 패턴의 세트를 입력하는 단계;
    상기 표면 상에 원형 패턴의 세트를 형성할 수 있는 샷의 세트를 결정하는 단계 - 조사량 보정 전에 상기 샷의 세트 내 샷들의 조사량은 서로에 대해 변경될 수 있음 - ; 및
    조사량을 포함하여 상기 샷의 세트를 출력하는 단계를 포함하고,
    상기 결정하는 단계가 입력 패턴의 세트 내 선택된 패턴을 위한 가변성 형상의 빔(VSB) 샷을 결정하는 것을 포함하고, 상기 샷의 세트의 결합은 상기 선택된 패턴과 상이한,
    대전된 입자 빔 리소그래피를 위한 마스크 데이터 준비 방법.
  4. 제 3 항에 있어서,
    글리프(glyphs)의 세트를 입력하는 단계를 추가로 포함하고,
    상기 결정하는 단계에서 상기 샷의 세트가 글리프를 포함하는,
    대전된 입자 빔 리소그래피를 위한 마스크 데이터 준비 방법.
  5. 제 4 항에 있어서,
    상기 글리프가 파라미터화된 글리프인,
    대전된 입자 빔 리소그래피를 위한 마스크 데이터 준비 방법.
  6. 제 3 항에 있어서,
    상기 VSB 샷은 서로에 대하여 오버랩되도록 허용되는,
    대전된 입자 빔 리소그래피를 위한 마스크 데이터 준비 방법.
  7. 제 3 항에 있어서,
    상기 VSB 샷은 오버랩되지 않는 VSB 샷들인,
    대전된 입자 빔 리소그래피를 위한 마스크 데이터 준비 방법.
  8. 제 3 항에 있어서,
    상기 샷의 결정된 세트는 이후에 포토마스크 상의 원을 제조하는데 이용되고,
    상기 포토마스크는 이후에 웨이퍼 상에 실린더를 제조하는데 이용되는,
    대전된 입자 빔 리소그래피를 위한 마스크 데이터 준비 방법.
  9. 삭제
  10. 표면 상에 복수의 원형 패턴을 형성하기 위한 방법으로서,
    대전된 입자 빔 소스를 제공하는 단계;
    복수의 원형 패턴 내 각각의 원하는 원형 패턴을 위한 복수의 가변성 형상의 빔(VSB) 샷을 결정하는 단계로서, 상기 샷이 서로 오버랩될 수 있고, 상기 샷의 조사량은 서로에 대해 변경될 수 있으며, 상기 복수의 샷의 결합은 원하는 원형 패턴과 상이한, 복수의 가변성 형상의 빔(VSB) 샷을 결정하는 단계; 및
    결정된 복수의 VSB 샷을 이용하여 표면 상에 복수의 원형 패턴을 형성하는 단계를 포함하는,
    표면 상에 복수의 원형 패턴을 형성하기 위한 방법.
  11. 제 10 항에 있어서,
    상기 복수의 VSB 샷으로부터 상기 표면 상의 계산된 패턴을 계산하는 단계; 및
    상기 계산된 패턴이 예정된 허용 한계를 넘는 만큼 상기 원하는 원형 패턴과 상이하다면, 상기 복수의 VSB 샷을 수정하고 상기 계산된 패턴을 다시 계산하는 단계를 추가로 포함하는,
    표면 상에 복수의 원형 패턴을 형성하기 위한 방법.
  12. 제 10 항에 있어서,
    상기 복수의 VSB 샷은 한 번의 실행으로(single iteration) 결정되는,
    표면 상에 복수의 원형 패턴을 형성하기 위한 방법.
  13. 제 11 항에 있어서,
    상기 계산하는 단계가 대전된 입자 빔 시뮬레이션을 이용하는,
    표면 상에 복수의 원형 패턴을 형성하기 위한 방법.
  14. 제 13 항에 있어서,
    상기 대전된 입자 빔 시뮬레이션이 전방 스캐터링, 후방 스캐터링, 레지스트 확산(resist diffusion), 쿨롱 효과(Coulomb effect), 에칭, 포깅(fogging), 로딩(loading) 및 레지스트 차징(resist charging)으로 이루어진 그룹 중 하나 이상을 포함하는,
    표면 상에 복수의 원형 패턴을 형성하기 위한 방법.
  15. 제 10 항에 있어서,
    상기 복수의 VSB 샷을 결정하는 단계에서, 상기 복수의 VSB 샷이 오버랩되지 않는,
    표면 상에 복수의 원형 패턴을 형성하기 위한 방법.
  16. 표면 상에 복수의 원형 패턴을 형성하기 위한 방법으로서,
    대전된 입자 빔 소스를 제공하는 단계;
    복수의 원형 패턴 내 각각의 원형 패턴을 형성하기 위한 대전된 입자 빔 샷을 포함한 글리프를 결정하는 단계; 및
    각각의 결정된 글리프와 연관된 상기 대전된 입자 빔 샷을 이용하여 표면 상에 복수의 원형 패턴을 형성하는 단계를 포함하는,
    표면 상에 복수의 원형 패턴을 형성하기 위한 방법.
  17. 제 16 항에 있어서,
    상기 복수의 원형 패턴 중 하나에 대해 결정된 글리프가 파라미터화된 글리프인,
    표면 상에 복수의 원형 패턴을 형성하기 위한 방법.
  18. 대전된 입자 빔 리소그래피용 마스크 데이터 준비를 위한 시스템으로서,
    레티클 상에 형성될 원형 패턴의 세트를 수용할 수 있는 입력 소자;
    상기 원형 패턴의 세트를 형성하는데 이용될 수 있는 샷의 세트를 결정할 수 있는 계산 소자로서, 조사량 보정 전에 상기 샷의 조사량은 서로에 대해 변경될 수 있는, 계산 소자 - 원형 패턴의 세트 내 선택된 패턴을 형성하기 위해 결정된 샷은 복수의 가변성 형상의 빔(VSB) 샷을 포함하고, 상기 복수의 VSB 샷은 서로 오버랩될 수 있으며, 상기 복수의 VSB 샷의 결합은 상기 선택된 패턴과 상이함 - ; 및
    상기 조사량을 포함하여 상기 샷의 결정된 세트를 수용할 수 있는 출력 소자를 포함하는,
    대전된 입자 빔 리소그래피용 마스크 데이터 준비를 위한 시스템.
  19. 제 18 항에 있어서,
    글리프 라이브러리(glyph library)를 추가로 포함하고,
    상기 계산 소자는 글리프를 포함할 수 있는 샷의 세트를 결정할 수 있는,
    대전된 입자 빔 리소그래피용 마스크 데이터 준비를 위한 시스템.
  20. 삭제
  21. 표면 상에 복수의 원형 패턴을 형성하기 위한 시스템으로서,
    대전된 입자 빔 소스;
    가변성 형상의 빔(VSB) 구멍을 보유한 스텐실; 및
    계산 소자를 포함하고,
    상기 계산 소자가 복수의 원형 패턴 내 선택된 원형 패턴을 위한 복수의 가변성 형상의 빔(VSB) 샷을 결정할 수 있으며, 상기 복수의 샷이 오버랩될 수 있고, 상기 복수의 샷의 결합은 상기 선택된 원형 패턴과 상이한,
    표면 상에 복수의 원형 패턴을 형성하기 위한 시스템.
  22. 제 21 항에 있어서,
    상기 계산 소자가,
    상기 복수의 VSB 샷으로부터 표면 상에 계산된 패턴을 계산하는 단계; 및
    상기 계산된 패턴이 예정된 허용 한계를 넘는 만큼 상기 선택된 원형 패턴과 상이하다면, 상기 복수의 VSB 샷을 수정하고 상기 계산된 패턴을 다시 계산하는 단계를 추가로 할 수 있는,
    표면 상에 복수의 원형 패턴을 형성하기 위한 시스템.
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
KR1020090081187A 2008-09-01 2009-08-31 반도체 소자를 제조하기 위한 방법 및 표면 상에 원형 패턴을 형성하고 분할하기 위한 방법 KR101690063B1 (ko)

Applications Claiming Priority (10)

Application Number Priority Date Filing Date Title
US12/202,364 2008-09-01
US12/202,364 US7759026B2 (en) 2008-09-01 2008-09-01 Method and system for manufacturing a reticle using character projection particle beam lithography
US12/473,241 US7754401B2 (en) 2008-09-01 2009-05-27 Method for manufacturing a surface and integrated circuit using variable shaped beam lithography
US12/473,241 2009-05-27
US22484909P 2009-07-10 2009-07-10
US61/224,849 2009-07-10
US12/540,322 2009-08-12
US12/540,321 US8017288B2 (en) 2008-09-01 2009-08-12 Method for fracturing circular patterns and for manufacturing a semiconductor device
US12/540,322 US8057970B2 (en) 2008-09-01 2009-08-12 Method and system for forming circular patterns on a surface
US12/540,321 2009-08-12

Publications (2)

Publication Number Publication Date
KR20100027072A KR20100027072A (ko) 2010-03-10
KR101690063B1 true KR101690063B1 (ko) 2016-12-27

Family

ID=42178225

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090081187A KR101690063B1 (ko) 2008-09-01 2009-08-31 반도체 소자를 제조하기 위한 방법 및 표면 상에 원형 패턴을 형성하고 분할하기 위한 방법

Country Status (3)

Country Link
JP (1) JP5787473B2 (ko)
KR (1) KR101690063B1 (ko)
TW (1) TWI506672B (ko)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
FR2959028B1 (fr) * 2010-04-15 2015-12-25 Commissariat Energie Atomique Procede de lithographie electronique par projection de cellules a grande maille
JP5464058B2 (ja) * 2010-06-02 2014-04-09 大日本印刷株式会社 ナノインプリントモールドの製造方法、光学素子の製造方法、およびレジストパターンの形成方法
JP5672921B2 (ja) * 2010-10-06 2015-02-18 大日本印刷株式会社 パターン形状予測プログラム、パターン形状予測システム
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
KR102005083B1 (ko) * 2011-02-28 2019-07-29 디2에스, 인코포레이티드 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
US9034542B2 (en) 2011-06-25 2015-05-19 D2S, Inc. Method and system for forming patterns with charged particle beam lithography
JP5836002B2 (ja) * 2011-08-12 2015-12-24 日本電子株式会社 荷電粒子ビーム描画方法及び装置
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
FR2994749B1 (fr) * 2012-08-24 2015-07-24 Commissariat Energie Atomique Procede de preparation d’un motif a imprimer sur plaque ou sur masque par lithographie a faisceau d’electrons, systeme de conception de circuit imprime et programme d’ordinateur correspondants.
US8984451B2 (en) * 2013-02-22 2015-03-17 Aselta Nanographics Free form fracturing method for electronic or optical lithography
WO2014193983A1 (en) * 2013-05-29 2014-12-04 Kla-Tencor Corporation Multi-layered target design
EP2869119A1 (en) * 2013-10-30 2015-05-06 Aselta Nanographics Free form fracturing method for electronic or optical lithography using resist threshold control

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000066366A (ja) * 1998-08-19 2000-03-03 Nec Corp フォトマスク及びその製造方法
JP2000123768A (ja) * 1998-08-31 2000-04-28 Nikon Corp 荷電粒子線装置及び荷電粒子線装置の調整方法及び半導体デバイスの製造方法
WO2007030528A2 (en) 2005-09-07 2007-03-15 Toppan Photomasks, Inc. Photomask and method for forming a non-orthogonal feature on the same

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2837743B2 (ja) * 1990-06-27 1998-12-16 富士通株式会社 荷電粒子ビーム露光方法およびそれに用いるステンシルマスク
JPH0496065A (ja) * 1990-08-13 1992-03-27 Fujitsu Ltd レチクル
JP3295855B2 (ja) * 1991-09-30 2002-06-24 富士通株式会社 荷電粒子ビーム露光方法
JP2823418B2 (ja) * 1992-03-24 1998-11-11 株式会社日立製作所 荷電粒子描画装置の図形分解装置
US6372391B1 (en) * 2000-09-25 2002-04-16 The University Of Houston Template mask lithography utilizing structured beam
JP3831188B2 (ja) * 2000-09-27 2006-10-11 株式会社東芝 露光処理装置及び露光処理方法
JP2002217092A (ja) * 2001-01-22 2002-08-02 Nec Corp レジストパターンの形成方法および半導体装置の製造方法
JP3590388B2 (ja) * 2001-03-23 2004-11-17 Hoya株式会社 フォトマスクの欠陥修正方法
US6767674B2 (en) * 2001-10-26 2004-07-27 Infineon Technologies Ag Method for obtaining elliptical and rounded shapes using beam shaping
JP2003347192A (ja) * 2002-05-24 2003-12-05 Toshiba Corp エネルギービーム露光方法および露光装置
JP2004134574A (ja) * 2002-10-10 2004-04-30 Renesas Technology Corp 半導体装置の製造方法
US7160475B2 (en) * 2002-11-21 2007-01-09 Fei Company Fabrication of three dimensional structures
WO2004077156A1 (ja) * 2003-02-28 2004-09-10 Fujitsu Limited フォトマスク及びその作製方法並びにパターン形成方法
JP4410075B2 (ja) * 2004-09-28 2010-02-03 株式会社東芝 半導体装置およびその製造方法
JP4866683B2 (ja) * 2006-08-25 2012-02-01 富士通セミコンダクター株式会社 半導体デバイスの製造方法、データ作成装置、データ作成方法、およびプログラム

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000066366A (ja) * 1998-08-19 2000-03-03 Nec Corp フォトマスク及びその製造方法
JP2000123768A (ja) * 1998-08-31 2000-04-28 Nikon Corp 荷電粒子線装置及び荷電粒子線装置の調整方法及び半導体デバイスの製造方法
WO2007030528A2 (en) 2005-09-07 2007-03-15 Toppan Photomasks, Inc. Photomask and method for forming a non-orthogonal feature on the same

Also Published As

Publication number Publication date
KR20100027072A (ko) 2010-03-10
JP2010062562A (ja) 2010-03-18
JP5787473B2 (ja) 2015-09-30
TW201021091A (en) 2010-06-01
TWI506672B (zh) 2015-11-01

Similar Documents

Publication Publication Date Title
KR101690063B1 (ko) 반도체 소자를 제조하기 위한 방법 및 표면 상에 원형 패턴을 형성하고 분할하기 위한 방법
US9268214B2 (en) Method for forming circular patterns on a surface
US8017288B2 (en) Method for fracturing circular patterns and for manufacturing a semiconductor device
US8137871B2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes which expose different surface area
US8492055B2 (en) Method and system for fracturing a pattern using lithography with multiple exposure passes
KR20110021698A (ko) 하전 입자 빔 리소그래피에 의해 곡선 캐릭터들을 사용하여 패턴을 형성하고 분할하기 위한 방법
WO2010025060A2 (en) Method for design and manufacture of a reticle using variable shaped beam lithography
KR102005083B1 (ko) 하전 입자 빔 리소그래피를 사용하여 패턴들을 형성하는 방법 및 시스템
US9057956B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US11062878B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
US11592802B2 (en) Method and system of reducing charged particle beam write time
US20120221985A1 (en) Method and system for design of a surface to be manufactured using charged particle beam lithography
US9612530B2 (en) Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US11756765B2 (en) Method and system for determining a charged particle beam exposure for a local pattern density
WO2011078968A2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
US8221940B2 (en) Method and system for fracturing a pattern using charged particle beam lithography with multiple exposure passes
US20130252143A1 (en) Method and system for design of enhanced accuracy patterns for charged particle beam lithography

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191125

Year of fee payment: 4