KR101683770B1 - 광검출기 구조체 형성방법 - Google Patents

광검출기 구조체 형성방법 Download PDF

Info

Publication number
KR101683770B1
KR101683770B1 KR1020100072688A KR20100072688A KR101683770B1 KR 101683770 B1 KR101683770 B1 KR 101683770B1 KR 1020100072688 A KR1020100072688 A KR 1020100072688A KR 20100072688 A KR20100072688 A KR 20100072688A KR 101683770 B1 KR101683770 B1 KR 101683770B1
Authority
KR
South Korea
Prior art keywords
layer
forming
monocrystalline silicon
silicon layer
etching
Prior art date
Application number
KR1020100072688A
Other languages
English (en)
Other versions
KR20120011117A (ko
Inventor
지호철
나경원
하경호
강필규
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020100072688A priority Critical patent/KR101683770B1/ko
Priority to US13/191,902 priority patent/US8728850B2/en
Publication of KR20120011117A publication Critical patent/KR20120011117A/ko
Application granted granted Critical
Publication of KR101683770B1 publication Critical patent/KR101683770B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/12004Combinations of two or more optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • H01L31/02327Optical elements or arrangements associated with the device the optical elements being integrated or being directly associated to the device, e.g. back reflectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/08Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors
    • H01L31/10Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof in which radiation controls flow of current through the device, e.g. photoresistors characterised by potential barriers, e.g. phototransistors
    • H01L31/101Devices sensitive to infrared, visible or ultraviolet radiation
    • H01L31/102Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier
    • H01L31/103Devices sensitive to infrared, visible or ultraviolet radiation characterised by only one potential barrier the potential barrier being of the PN homojunction type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/1808Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table including only Ge
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/132Integrated optical circuits characterised by the manufacturing method by deposition of thin films
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • G02B6/136Integrated optical circuits characterised by the manufacturing method by etching
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Optics & Photonics (AREA)
  • Light Receiving Elements (AREA)
  • Optical Integrated Circuits (AREA)

Abstract

본 발명에 따른 광검출기 구조체 형성방법은 벌크 실리콘 기판에 트랜치를 형성하고 상기 형성된 트랜치 내부에 클래딩 물질을 채운 구조층을 형성하는 단계; 상기 형성된 구조층 상부에 단결정화 실리콘층을 형성하는 단계; 및 상기 단결정화 실리콘층 상부에 게르마늄층을 형성하는 단계를 포함한다.

Description

광검출기 구조체 형성방법{METHOD FOR MANUFACTURING PHOTODETECTOR STRUCTURE}
본 발명은 광검출기 구조체 및 그 형성방법에 관한 것으로, 보다 상세하게는 CMOS IC들과 동일 기판에 집적이 가능한 경제적인 광검출기 구조체 및 그 형성방법에 관한 것이다.
실리콘(Si) 기판에서 1.3um~1.5um 파장 대역에서 동작하는 광검출기(PD:photodetector)를 제작하기 위해서, 종래에는 SOI(Silicon on Insulator) 기판을 사용하여 단결정 Si층 위에 Ge을 단결정 성장시키는 방법을 주로 사용하였다.
실리콘(Si)과 게르마늄(Ge)은 모두 4족 원소이지만, Ge의 격자상수가 Si에 비하여 4%정도 크므로 Ge층에는 격자 정수차이로 생기는 전위(MD:Misfit Dislocation)에 의한 선형 전위(TD:Treading Dislocation)가 다수 발생하였다.
선형 전위(TD)는 누설전류 및 암전류(dark current)를 증가시키는 주요한 원인이며, 이를 최소화 하기 위한 방법이 필요하다.
이를 위해, 일반적으로 저온에서 Ge를 CVD(Chemical Vapor Deposition) 한 후, 고온에서 Ge을 다시 CVD를 하는 방법 및 추가로, 후속 열처리 공정을 거치는 방법이 있다.
하지만, 기본적으로 두 물질 간에 서로 다른 격자상수로 인하여 TD 덴시티(density)를 기준 이하로 만드는 것은 매우 어렵고 비용이 많이 든다.
또한, SOI 기판을 사용하는 경우 SOI 기판이 고가이기 때문에 경제적으로도 문제가 많고, CMOS 집적회로(IC)들과 동일 기판 집적이 불가능하다.
본 발명이 해결하고자 하는 기술적 과제는 TD 덴시티(density)를 기준 이하로 만들고, CMOS IC들과 동일 기판 집적이 가능하며, 경제적으로도 효과적인 광검출기 구조체 및 그 형성방법을 제공하는 것이다.
본 발명에 따른 광검출기 구조체 형성방법은 벌크 실리콘 기판에 트랜치를 형성하고 상기 형성된 트랜치 내부에 클래딩 물질을 채운 구조층을 형성하는 단계; 상기 형성된 구조층 상부에 단결정화 실리콘층을 형성하는 단계; 및 상기 단결정화 실리콘층 상부에 게르마늄층을 형성하는 단계를 포함할 수 있다.
또한, 상기 게르마늄층을 형성하는 단계는 상기 단결정화 실리콘층의 상부에 제1 절연층을 형성하는 단계; 및 상기 제1 절연층 상부에 게르마늄층을 형성하는 단계를 포함할 수 있다.
또한, 상기 단결정화 실리콘층의 상부에 제1 절연층을 형성하는 단계 이전에 상기 단결정화 실리콘층을 식각하는 단계를 더 포함할 수 있다.
또한, 상기 식각된 단결정화 실리콘층의 일부는 상기 구조층의 벌크 실리콘 기판에 맞닿을 수 있다.
또한, 상기 광검출기 구조체 형성방법은 상기 제1 절연층을 형성하는 단계 이후에 상기 제1 절연층의 일부를 식각하여 상기 벌크 실리콘 기판에 맞닿는 단결정화 실리콘층이 노출되도록 하여 씨드 윈도우를 형성하는 단계를 더 포함할 수 있다.
또한, 상기 광검출기 구조체 형성방법은 상기 제1 절연층을 형성하는 단계 이후에 상기 제1 절연층의 일부를 식각하여 상기 벌크 실리콘 기판이 노출되도록 하여 윈도우를 형성하는 단계를 더 포함할 수 있다.
또한, 상기 광검출기 구조체 형성방법은 상기 게르마늄층 상부에 제2 절연층을 형성하는 단계; 및 상기 게르마늄층에 전극을 연결하는 단계를 더 포함할 수 있다.
또한, 상기 제1 절연층 및 제2 절연층은 SiON 또는 SiN 중 어느 하나를 포함할 수 있다.
또한, 상기 게르마늄층은 저온 증착 게르마늄층 및 고온 증착 게르마늄층을 포함할 수 있다.
또한, 상기 단결정화 실리콘층을 식각하는 단계는 상기 단결정화 실리콘층의 식각을 통해 상기 벌크 실리콘 기판 및 클래딩 물질이 노출되는 단계를 포함할 수 있다.
또한, 상기 단결정화 실리콘층을 식각하는 단계는 상기 단결정화 실리콘층의 식각을 통해 상기 단결정화 실리콘층의 상부가 요철 패턴으로 형성하는 단계를 포함할 수 있다.
또한, 상기 광검출기 구조체 형성방법은 상기 단결정화 실리콘층 및 상기 게르마늄층 주위를 클래딩 물질로 채우는 단계를 더 포함할 수 있다.
또한, 상기 클래딩 물질은 실리콘 굴절률보다 작은 굴절률을 갖는 물질에 해당할 수 있다.
또한, 상기 클래딩 물질은 옥사이드를 포함할 수 있다.
또한, 상기 단결정화 실리콘층은 아몰퍼스 실리콘(a-Si)층을 형성한 후 이를 단결정화하여 형성될 수 있다.
본 발명에 따른 광검출기 구조체는 내부에 트렌치가 형성되고 상기 트렌치 내부에 클래딩 물질을 채운 벌크 실리콘 기판; 상기 벌크 실리콘 기판 상부에 형성된 단결정화 실리콘층; 및 상기 단결정화 실리콘층을 식각하고, 식각된 단결정화 실리콘층의 상부에 형성된 게르마늄층을 포함할 수 있다.
또한, 상기 광검출기 구조체는 클래딩 물질이 상기 단결정화 실리콘층 및 상기 게르마늄층 주위를 감싸도록 형성될 수 있다.
본 발명의 광검출기 구조체 및 그 형성방법에 따르면 TD 덴시티(density)를 기준 이하로 만들고, CMOS 집적회로(IC)들과 동일 기판 집적이 가능하며, 경제적으로도 효과적이다.
도 1은 본 발명의 일 실시예에 따른 개략적인 광통신 시스템을 나타내는 도면이다.
도 2는 도 1의 광검출기의 구조체를 설명하기 위한 도면이다.
도 3a 내지 도 3e는 본 발명의 일 실시예에 따른 광검출기의 구조체의 단면도를 나타낸다.
도 4a 내지 도 4h는 본 발명의 일 실시예에 따른 광검출기의 제조 방법을 설명하기 위한 도면이다.
도 5a 내지 도 5h는 본 발명의 다른 실시예에 따른 광검출기의 제조 방법을 설명하기 위한 도면이다.
도 6은 본 발명의 일 실시예에 따른 광검출기 구조체를 나타내는 도면이다.
도 7은 본 발명의 일 실시예에 따른 광검출기 구조체 형성방법을 나타내는 흐름도이다.
본 명세서 또는 출원에 개시되어 있는 본 발명의 실시 예들에 대해서 특정한 구조적 내지 기능적 설명들은 단지 본 발명에 따른 실시 예를 설명하기 위한 목적으로 예시된 것으로, 본 발명에 따른 실시 예들은 다양한 형태로 실시될 수 있으며 본 명세서 또는 출원에 설명된 실시예들에 한정되는 것으로 해석되어서는 아니 된다.
본 발명에 따른 실시예는 다양한 변경을 가할 수 있고 여러가지 형태를 가질 수 있으므로 특정실시 예들을 도면에 예시하고 본 명세서 또는 출원에 상세하게 설명하고자 한다. 그러나, 이는 본 발명의 개념에 따른 실시 예를 특정한 개시 형태에 대해 한정하려는 것이 아니며, 본 발명의 사상 및 기술 범위에 포함되는 모든 변경, 균등물 내지 대체물을 포함하는 것으로 이해되어야 한다.
제1 및/또는 제2 등의 용어는 다양한 구성 요소들을 설명하는데 사용될 수 있지만, 상기구성 요소들은 상기용어들에 의해 한정되어서는 안된다. 상기 용어들은 하나의 구성 요소를 다른 구성 요소로부터 구별하는 목적으로만, 예컨대 본 발명의 개념에 따른 권리 범위로부터 이탈되지 않은 채, 제1 구성요소는 제2 구성요소로 명명될 수 있고, 유사하게 제2 구성요소는 제1 구성요소로도 명명될 수 있다.
어떤 구성요소가 다른 구성요소에 "연결되어" 있다거나 "접속되어" 있다고 언급된 때에는, 그 다른 구성요소에 직접적으로 연결되어 있거나 또는 접속되어 있을수도 있지만, 중간에 다른 구성요소가 존재할 수도 있다고 이해되어야 할 것이다. 반면에, 어떤 구성요소가 다른 구성요소에 "직접 연결되어" 있다거나 "직접 접속되어" 있다고 언급된 때에는, 중간에 다른 구성요소가 존재하지 않는 것으로 이해되어야 할 것이다. 구성요소들 간의 관계를 설명하는 다른 표현들, 즉 "~사이에"와 "바로 ~사이에" 또는 "~에 이웃하는"과 "~에 직접 이웃하는" 등도 마찬가지로 해석되어야 한다.
본 명세서에서 사용한 용어는 단지 특정한 실시예를 설명하기 위해 사용된 것으로, 본 발명을 한정하려는 의도가 아니다. 단수의 표현은 문맥상 명백하게 다르게 뜻하지 않는 한, 복수의 표현을 포함한다. 본 명세서에서, "포함하다" 또는 "가지다" 등의 용어는 설시된 특징, 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것이 존재함을 지정하려는 것이지, 하나 또는 그 이상의 다른 특징들이나 숫자, 단계, 동작, 구성요소, 부분품 또는 이들을 조합한 것들의 존재 또는 부가가능성을 미리 배제하지 않는 것으로 이해되어야 한다.
다르게 정의되지 않는한, 기술적이거나 과학적인 용어를 포함해서 여기서 사용되는 모든 용어들은 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자에 의해 일반적으로 이해되는 것과 동일한 의미를 가지고 있다. 일반적으로 사용되는 사전에 정의되어 있는 것과 같은 용어들은 관련 기술의 문맥상 가지는 의미와 일치하는 의미를 가지는 것으로 해석되어야 하며, 본 명세서에서 명백하게 정의하지 않는 한, 이상적이거나 과도하게 형식적인 의미로 해석되지 않는다.
이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시 예를 설명함으로써, 본 발명을 상세히 설명한다. 각 도면에 제시된 동일한 참조부호는 동일한 부재를 나타낸다.
도 1은 본 발명의 일 실시예에 따른 개략적인 광통신 시스템을 나타내는 도면이다. 도 1을 참고하면, 상기 광통신 시스템(10)은 광수신기(100), 광송신기(200) 및 채널(300)을 포함한다.
상기 광송신기(200)는 전기 신호를 광신호로 변환하여 채널(300)을 통해 상기 광수신기(100)로 전송하고, 상기 광수신기(100)는 입력되는 광신호를 다시 전기 신호로 변환한다. 이때, 상기 광수신기(100)는 광검출기(PD, 110)를 포함하며, 상기 광검출기(110)를 이용하여 광신호를 검출하고 이를 전기 신호로 변환한다. 상기 채널(300)은 광섬유를 이용하여 구현될 수 있다.
도 2는 도 1의 광검출기의 구조체를 설명하기 위한 도면이다. 도 2를 참고하면, 상기 광검출기의 구조체는 제1 실리콘층(210), 옥사이드층(220), 제2 실리콘층(230) 및 게르마늄층(240)을 포함하며, 도 2와 같이 차례로 증착되어 있다. 다만, 옥사이드층(220)은 여기서는 옥사이드로 한정하여 설명하고 있으나, 이에 한정되지 않으며 실리콘보다 굴절률이 작은 물질이면 옥사이드가 아닌 다른 물질에 해당해도 무방하다.
또한, 게르마늄층(240)은 이하에서는 단결정 게르마늄(Ge)층의 저온 증착 Ge층 및 고온 증착 Ge층을 위주로 설명할 것이나, 본 발명의 범위가 이에 한정되는 것은 아니다. 예컨대, 상기 게르마늄층(240)은 실리콘(Si) 및 게르마늄(Ge)의 필요 구성비로 이루어진 합금의 형태로 구성될 수도 있으며, 저온/고온 Ge층이 아닌 단일 Ge층으로 구성될 수도 있다. 또한, 상기 게르마늄층(240)은 단결정(single crystalline)이 아니라 다결정(poly crystalline)에 해당할 수도 있다.
제2 실리콘층(230)이 광도파로(waveguide)에 해당하며, B-B' 방향으로 광(light)이 입사하여 전반사를 하며 진행하며, 게르마늄층(240)에 도달하는 경우 상기 게르마늄층(240)이 광(light)을 흡수한다. 도 2에는 도시하지 않았지만, 상기 게르마늄층(240)은 전극(electrode, 미도시)과 연결되어 있으며, 광(light)이 흡수되는 경우 연결된 전극으로 전기 신호로 출력된다.
도 3a 내지 도 3e는 본 발명의 일 실시예에 따른 광검출기의 구조체의 단면도를 나타낸다. 도 3a 내지 도 3e는 본 발명의 일 실시예에 따른 광검출기(110)의 구조체를 형성하기 위한 순차적인 단계도이며, 도 2의 A-A'방향의 단면도에 해당한다.
도 3a 내지 도 3e를 참고하면, 상기 광검출기(110) 구조체를 형성하기 위해 벌크 실리콘(bulk Si) 기판(310) 상에, 도 3a에 도시된 바와 같이 트랜치를 만들고 그 내부에 옥사이드(Oxide)를 채운 BOX(Buried Oxide, 320)를 형성한다. 이때, 상기 BOX(320)는 광도파로의 하부 클래딩으로 사용되며, 여기서는 트랜치 내부에는 옥사이드를 채우는 것으로 한정하여 설명하고 있으나 본 발명의 범위는 이에 한정되는 것은 아니며 실리콘보다 굴절률이 작은 물질이면 어느 것이든 좋다.
도 3b에 도시된바와 같이, 상기 과정을 통해 형성된 도 3a에 도시된 구조체 상에 증착(deposition) 과정을 통해 아몰퍼스 실리콘(a-Si: amorphous Silicon) 층(330)이 형성된다. 이후, 도 3c에 도시된 바와 같이, 상기 아몰퍼스 실리콘 층은 단결정화 과정을 통해 단결정화 실리콘(crystallized-Si) 층(340)으로 형성되며 이는 광도파로의 코어층으로 사용된다.
도 3d에 도시된바와 같이, 광도파로 형성을 위해 상기 단결정화 실리콘 층(340)이 소정의 부분만큼 식각되고, 상기 식각된 상기 단결정화 실리콘 층(340)의 상부에 저온 증착 Ge층(350) 및 고온 증착 Ge층(360)이 차례로 형성된다.
이후, 도 3e에 도시된 바와 같이, 상기 단결정화 실리콘 층(340), 저온 증착 Ge층(350) 및 고온 증착 Ge층(360)의 주변이 옥사이드(380)로 채워져 클래딩이 형성되고, 전극(electrode, 370)이 상기 저온 증착 Ge층(350) 및/또는 고온 증착 Ge층(360)에 연결되어, 광도파로에 전반사되어 들어오는 광을 전기 신호로 출력할 수 있도록 한다.
도 4a 내지 도 4h는 본 발명의 일 실시예에 따른 광검출기의 제조 방법을 설명하기 위한 도면이다. 도 4a 내지 도 4h는 본 발명의 일 실시예에 따른 광검출기(110)를 제조하기 위한 순차적인 단계도이며, 도 2의 A-A'방향의 단면도에 해당한다.
도 4a 내지 도 4h를 참고하면, 상기 광검출기(110)를 형성하기 위해 벌크 실리콘(bulk Si) 기판(410) 상에, 도 4a에 도시된바와 같이 트렌치를 만들고 그 내부에 옥사이드(Oxide)를 채운 BOX(Buried Oxide, 420)를 형성한다. 이때, 상기 BOX(420)는 광도파로의 하부 클래딩으로 사용된다.
다음으로, 도 4b에 도시된 바와 같이, 상기 과정을 통해 형성된 도 4a에 도시된 구조체 상에 증착(deposition) 과정을 통해 아몰퍼스 실리콘(a-Si: amorphous Silicon) 층(430)이 형성된다. 이후, 도 4c에 도시된바와 같이, 상기 아몰퍼스 실리콘 층은 단결정화 과정을 통해 단결정화 실리콘(crystallized-Si) 층(440)으로 형성되며 이는 광도파로의 코어층으로 사용된다.
다음으로, 도 4d에 도시된 바와 같이, 상기 단결정화 실리콘 층(440)이 소정의 부분만큼 식각되는데, 이때, 식각된 단결정화 실리콘 층(440)의 일부는 반드시 상기 벌크 실리콘(bulk Si) 기판(410)과 맞닿으며(425), 상기 단결정화 실리콘 층(440)의 식각을 통해 상기 벌크 실리콘(bulk Si) 기판(410) 및 BOX(420)는 외부로 노출될 수 있다. 이후, 상기 벌크 실리콘(bulk Si) 기판(410), BOX(420) 및 단결정화 실리콘 층(440) 위에 유전체(dielectric) 박막 절연층(450)이 형성된다. 이때, 상기 유전체 박막 절연층(450)은 SiON 또는 SiN과 같은 물질에 해당할 수 있으나 이에 한정되는 것은 아니다.
다음으로, 도 4e에 도시된 바와 같이, 상기 박막 절연층(450)의 일부를 식각하여 벌크 실리콘 기판과 맞닿는 단결정화 실리콘 층(440)이 노출되도록 하여 씨드 윈도우(seed window, 470)를 형성하고 상기 박막 절연층(450) 및 씨드 윈도우(470) 위에 전체적으로 Ge층(460)을 형성한다. 이때, 상기 Ge층(460)은 증착 시에 저온 증착 Ge층 및 고온 증착 Ge층이 차례로 형성되는 경우를 포함한다.
이후, 광도파로 형성을 위한 식각이 수행된다. 이때, 광도파로와 상기 씨드 윈도우(470)가 포함되어 남을 수 있도록 식각이 수행되며, 이후 절연층(480)이 상기 Ge층(460) 위에 형성된다. 상기 과정이 수행된 일 실시예가 도 4f에 도시된바와 같다.
고온 가열을 통해, 도 4g와 같이 상기 Ge층(460)을 단결정화(460')시키고, 최종적으로 도 4h와 같이 옥사이드로 상부 클래딩(490)을 형성하고, 상기 Ge층(460)에 전극(500)을 형성하여 광검출기 구조체를 얻을 수 있다.
도 5a 내지 도 5h는 본 발명의 다른 실시예에 따른 광검출기의 제조 방법을 설명하기 위한 도면이다. 도 5a 내지 도 5h는 본 발명의 다른 실시예에 따른 광검출기(110)를 제조하기 위한 순차적인 단계도이며, 도 2의 A-A'방향의 단면도에 해당한다.
도 5a 내지 도 5h를 참고하면, 상기 광검출기(110)를 형성하기 위해 벌크 실리콘(bulk Si) 기판(510) 상에, 도 5a에 도시된바와 같이 트렌치를 만들고 그 내부에 옥사이드(Oxide)를 채운 BOX(Buried Oxide, 520)를 형성한다. 이때, 상기 BOX(520)는 광도파로의 하부 클래딩으로 사용된다.
다음으로, 도 5b에 도시된 바와 같이, 상기 과정을 통해 형성된 도 5a에 도시된 구조체 상에 증착(deposition) 과정을 통해 아몰퍼스 실리콘(a-Si: amorphous Silicon) 층(530)이 형성된다. 이후, 도 5c에 도시된바와 같이, 상기 아몰퍼스 실리콘 층은 단결정화 과정을 통해 단결정화 실리콘(crystallized-Si) 층(540)으로 형성되며 이는 광도파로의 코어층으로 사용된다.
다음으로, 도 5d에 도시된 바와 같이, 상기 단결정화 실리콘 층(540)이 소정의 부분만큼 식각되는데, 이때, 식각된 단결정화 실리콘 층(540)의 일부는 반드시 상기 벌크 실리콘(bulk Si) 기판(510)과 맞닿으며(525), 상기 단결정화 실리콘 층(540)의 식각을 통해 상기 벌크 실리콘(bulk Si) 기판(510) 및 BOX(520)는 외부로 노출될 수 있다. 이후, 상기 벌크 실리콘(bulk Si) 기판(510), BOX(520) 및 단결정화 실리콘 층(540) 위에 유전체(dielectric) 박막 절연층(550)이 형성된다. 이때, 상기 유전체 박막 절연층(550)은 SiON 또는 SiN과 같은 물질에 해당할 수 있으나 이에 한정되는 것은 아니다.
다음으로, 도 5e에 도시된 바와 같이, 상기 박막 절연층(550)의 일부를 식각하여 벌크 실리콘 기판(510)이 노출되도록 하여 윈도우(570)를 형성하고 상기 박막 절연층(550) 및 윈도우(570) 위에 전체적으로 Ge층(560)을 형성한다. 이때, 상기 Ge층(560)은 증착 시에 저온 증착 Ge층 및 고온 증착 Ge층이 차례로 형성되는 경우를 포함한다.
이후, 광도파로 형성을 위한 식각이 수행된다. 이때, 광도파로와 상기 윈도우(570)가 포함되어 남을 수 있도록 식각이 수행되며, 이후 절연층(580)이 상기 Ge층(560) 위에 형성된다. 상기 과정이 수행된 일 실시예가 도 5f에 도시된바와 같다.
고온 가열을 통해, 도 5g와 같이 상기 Ge층(560)을 단결정화(560')시키고, 최종적으로 도 5h와 같이 옥사이드로 상부 클래딩(590)을 형성하고, 상기 Ge층(560)에 전극(600)을 형성한다.
도 6은 본 발명의 일 실시예에 따른 광검출기 구조체를 나타내는 도면이다. 도 6에 도시된 광검출기 구조체는 도 2의 B-B' 방향의 단면도를 나타낸다. 도 6은 도 5a 내지 도 5h의 구조체를 기준으로 설명하지만, 도 4a 내지 도 4h에 의한 방법에 의한 구조체에도 적용될 수 있음은 자명하다. 본 발명에 따라 상기 광검출기 구조체를 제조하는 경우, 단결정화 실리콘 층(도 4a 내지 도 4h의 440, 도 5a 내지 도 5h의 540)이 형성되면 이를 마스크를 이용하여 도 6과 같이 요철 패턴으로 식각할 수 있다.
단결정화 실리콘층이 도 6과 같이 요철 패턴인 경우, 광(light)이 도 6의 화살표 방향으로 광 도파로로 들어오는 경우, 상기 톱니 모양 때문에 광(light)이 전극으로 보다 수월하게 끌려들어갈 수 있다. 이로써, 종래에 따른 광도파로보다 짧은 길이의 광도파로가 구현될 수 있다.
도 7은 본 발명의 일 실시예에 따른 광검출기 구조체 형성방법을 나타내는 흐름도이다. 도 7의 광검출기 구조체 형성방법에 따라 도 3a 내지 도 5h의 광검출기 구조체가 형성될 수 있다.
벌크 실리콘 기판에 트랜치를 형성하고 상기 형성된 트랜치 내부에 클래딩 물질을 채운 구조층을 형성한다(S110). 이때, 상기 클래딩 물질은 실리콘 굴절률보다 작은 굴절률을 갖는 물질로 예컨대, 옥사이드를 포함한다.
다음으로, 상기 형성된 구조층 상부에 단결정화 실리콘층을 형성한다(S120). 이때, 상기 단결정화 실리콘층은 아몰퍼스 실리콘(a-Si)층을 형성한 후 이를 단결정화하여 형성될 수 있다.
다음으로, 상기 단결정화 실리콘층을 식각한다(S130). 이때, 상기 식각된 단결정화 실리콘층의 일부는 상기 구조층의 벌크 실리콘 기판에 맞닿으며, 식각을 통해 벌크 실리콘 기판 및 클래딩 물질이 외부에 노출될 수 있다. 또한, 상기 단결정화 실리콘층의 식각을 통해 상기 단결정화 실리콘층의 상부가 요철 패턴으로 형성될 수 있다.
다음으로, 상기 단결정화 실리콘층의 상부에 제1 절연층을 형성한다(S140). 이때, 상기 제1 절연층은 SiON 또는 SiN 중 어느 하나를 포함할 수 있다.
이후, 상기 제1 절연층의 일부를 식각한다(S150). 이때, 식각을 통해 상기 벌크 실리콘 기판에 맞닿는 단결정화 실리콘층이 노출되도록 하거나, 벌크 실리콘 기판이 노출되도록 한다. 이로써, 벌크 실리콘이 게르마늄(Ge)층 결정화 씨드(seed)로 사용될 수 있다.
이후, 상기 제1 절연층 상부에 게르마늄층을 형성하고(S160), 상기 게르마늄층 상부에 제2 절연층을 형성하며, 상기 게르마늄층에 전극을 연결한다(S170). 이때, 상기 제2 절연층은 SiON 또는 SiN 중 어느 하나를 포함할 수 있으며, 상기 게르마늄층은 저온 증착 게르마늄층 및 고온 증착 게르마늄층을 포함할 수 있다.
본 발명에 따르면, 벌크 실리콘 기판을 사용하기 때문에, SOI 기반 광검출기와 달리 CMOS 집적회로들과 동일 기판에 집적이 가능하다. 또한, 벌크 실리콘을 게르마늄(Ge)층 결정화 씨드(seed)로 사용할 수 있으므로 보다 광 흡수가 좋은 게르마늄층을 얻을 수 있다.
상기 본 발명의 내용은 도면에 도시된 일실시 예를 참고로 설명되었으나 이는 예시적인 것에 불과하며, 본 기술 분야의 통상의 지식을 가진 자라면 이로부터 다양한 변형 및 균등한 타 실시 예가 가능하다는 점을 이해할 것이다. 따라서 본 발명의 진정한 기술적 보호 범위는 첨부된 특허청구범위의 기술적 사상에 의해 정해져야 할 것이다.
벌크 실리콘 기판(310)
BOX(320)
아몰퍼스 실리콘층(330)
단결정화 실리콘층(340)
저온 증착 Ge층(350)
고온 증착 Ge층(360)
전극(370)
옥사이드(380)

Claims (10)

  1. 광검출기 구조체 형성방법에 있어서,
    벌크 실리콘 기판에 트랜치를 형성하고 상기 형성된 트랜치 내부에 클래딩 물질을 채운 구조층을 형성하는 단계;
    상기 형성된 구조층 상부에 단결정화 실리콘층을 형성하는 단계;
    상기 단결정화 실리콘층을 식각하는 단계;
    상기 식각된 단결정화 실리콘층의 상부에 제1 절연층을 형성하는 단계;
    상기 제1 절연층의 일부를 식각하여 상기 벌크 실리콘 기판에 맞닿는 단결정화 실리콘층 또는 상기 벌크 실리콘 기판이 노출되도록 하여 윈도우를 형성하는 단계; 및
    상기 제1 절연층 및 상기 윈도우 상부에 게르마늄층을 형성하는 단계를 포함하는 광검출기 구조체 형성방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 식각된 단결정화 실리콘층의 일부는 상기 구조층의 벌크 실리콘 기판에 맞닿는 광검출기 구조체 형성방법.
  4. 삭제
  5. 삭제
  6. 제3항에 있어서,
    상기 게르마늄층 상부에 제2 절연층을 형성하는 단계; 및
    상기 게르마늄층에 전극을 연결하는 단계를 더 포함하는 광검출기 구조체 형성방법.
  7. 제6항에 있어서,
    상기 제1 절연층 및 제2 절연층 각각은 SiON 및 SiN 중 어느 하나를 포함하는 광검출기 구조체 형성방법.
  8. 제6항에 있어서,
    상기 게르마늄층은 저온 증착 게르마늄층 및 고온 증착 게르마늄층을 포함하는 광검출기 구조체 형성방법.
  9. 제3항에 있어서, 상기 단결정화 실리콘층을 식각하는 단계는
    상기 단결정화 실리콘층의 식각을 통해 상기 벌크 실리콘 기판 및 상기 클래딩 물질이 노출되는 단계를 포함하는 광검출기 구조체 형성방법.
  10. 제9항에 있어서, 상기 단결정화 실리콘층을 식각하는 단계는
    상기 단결정화 실리콘층의 식각을 통해 상기 단결정화 실리콘층의 상부를 요철 패턴으로 형성하는 단계를 포함하는 광검출기 구조체 형성방법.
KR1020100072688A 2010-07-28 2010-07-28 광검출기 구조체 형성방법 KR101683770B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020100072688A KR101683770B1 (ko) 2010-07-28 2010-07-28 광검출기 구조체 형성방법
US13/191,902 US8728850B2 (en) 2010-07-28 2011-07-27 Photodetector structure and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100072688A KR101683770B1 (ko) 2010-07-28 2010-07-28 광검출기 구조체 형성방법

Publications (2)

Publication Number Publication Date
KR20120011117A KR20120011117A (ko) 2012-02-07
KR101683770B1 true KR101683770B1 (ko) 2016-12-08

Family

ID=45525838

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100072688A KR101683770B1 (ko) 2010-07-28 2010-07-28 광검출기 구조체 형성방법

Country Status (2)

Country Link
US (1) US8728850B2 (ko)
KR (1) KR101683770B1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130029293A (ko) 2011-09-14 2013-03-22 삼성전자주식회사 광 입출력 소자 및 그의 제조 방법
US9231131B2 (en) 2014-01-07 2016-01-05 International Business Machines Corporation Integrated photodetector waveguide structure with alignment tolerance
KR102284657B1 (ko) * 2015-01-05 2021-08-02 삼성전자 주식회사 포토 다이오드 및 이를 포함하는 광통신 시스템
US9466753B1 (en) 2015-08-27 2016-10-11 Globalfoundries Inc. Photodetector methods and photodetector structures
US10444445B2 (en) * 2017-02-10 2019-10-15 Ciena Corporation Optically isolated photodiode for high sensitivity application
KR102599514B1 (ko) 2018-04-12 2023-11-06 삼성전자주식회사 광 검출기 구조체
KR102601212B1 (ko) * 2018-10-10 2023-11-10 삼성전자주식회사 광전 소자를 포함하는 집적 회로 소자
US10914892B2 (en) * 2018-10-18 2021-02-09 Cisco Technology, Inc. Germanium photodetector coupled to a waveguide
US11067765B2 (en) 2019-11-27 2021-07-20 Cisco Technology, Inc. Evanescent coupling of photodiode with optical waveguide
US11742451B2 (en) * 2020-11-24 2023-08-29 Cisco Technology, Inc. Integrate stressor with Ge photodiode using a substrate removal process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050136626A1 (en) 2003-06-30 2005-06-23 Mike Morse Methods of forming a high germanium concentration silicon germanium alloy by epitaxial lateral overgrowth and structures formed thereby
JP2006522465A (ja) * 2003-03-31 2006-09-28 シオプティカル インコーポレーテッド 薄膜シリコン・オン・インシュレータ(soi)プラットフォーム上に集積した多結晶ゲルマニウム・ベースの導波路検出器
WO2009102280A1 (en) * 2008-02-15 2009-08-20 Agency For Science, Technology And Research Photodetector with valence-mending adsorbate region and a method of fabrication thereof
US20100059822A1 (en) * 2008-09-08 2010-03-11 Thierry Pinguet Method and system for monolithic integration of photonics and electronics in cmos processes

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5841931A (en) * 1996-11-26 1998-11-24 Massachusetts Institute Of Technology Methods of forming polycrystalline semiconductor waveguides for optoelectronic integrated circuits, and devices formed thereby
US7205525B2 (en) * 2003-09-05 2007-04-17 Analog Devices, Inc. Light conversion apparatus with topside electrode
JP2005203757A (ja) 2004-01-12 2005-07-28 Sharp Corp 赤外線光検出用垂直光路構造
US7177489B2 (en) * 2004-03-18 2007-02-13 Honeywell International, Inc. Silicon-insulator-silicon thin-film structures for optical modulators and methods of manufacture
US7217584B2 (en) * 2004-03-18 2007-05-15 Honeywell International Inc. Bonded thin-film structures for optical modulators and methods of manufacture
JP2006171157A (ja) 2004-12-14 2006-06-29 Sony Corp 光導波装置、光導波モジュール及び光・電気複合デバイス
US7037856B1 (en) * 2005-06-10 2006-05-02 Sharp Laboratories Of America, Inc. Method of fabricating a low-defect strained epitaxial germanium film on silicon
US20070104441A1 (en) * 2005-11-08 2007-05-10 Massachusetts Institute Of Technology Laterally-integrated waveguide photodetector apparatus and related coupling methods
US7305157B2 (en) * 2005-11-08 2007-12-04 Massachusetts Institute Of Technology Vertically-integrated waveguide photodetector apparatus and related coupling methods
WO2007061986A1 (en) * 2005-11-22 2007-05-31 Massachusetts Institute Of Technology High speed and low loss gesi/si electro-absorption light modulator and method of fabrication using selective growth
US8871554B2 (en) * 2007-10-30 2014-10-28 Bae Systems Information And Electronic Systems Integration Inc. Method for fabricating butt-coupled electro-absorptive modulators
JP5232981B2 (ja) * 2008-03-07 2013-07-10 日本電気株式会社 SiGeフォトダイオード
US8304272B2 (en) * 2010-07-02 2012-11-06 International Business Machines Corporation Germanium photodetector

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006522465A (ja) * 2003-03-31 2006-09-28 シオプティカル インコーポレーテッド 薄膜シリコン・オン・インシュレータ(soi)プラットフォーム上に集積した多結晶ゲルマニウム・ベースの導波路検出器
US20050136626A1 (en) 2003-06-30 2005-06-23 Mike Morse Methods of forming a high germanium concentration silicon germanium alloy by epitaxial lateral overgrowth and structures formed thereby
WO2009102280A1 (en) * 2008-02-15 2009-08-20 Agency For Science, Technology And Research Photodetector with valence-mending adsorbate region and a method of fabrication thereof
US20100059822A1 (en) * 2008-09-08 2010-03-11 Thierry Pinguet Method and system for monolithic integration of photonics and electronics in cmos processes

Also Published As

Publication number Publication date
US20120025265A1 (en) 2012-02-02
US8728850B2 (en) 2014-05-20
KR20120011117A (ko) 2012-02-07

Similar Documents

Publication Publication Date Title
KR101683770B1 (ko) 광검출기 구조체 형성방법
US9653639B2 (en) Laser using locally strained germanium on silicon for opto-electronic applications
US8558336B2 (en) Semiconductor photodetector structure and the fabrication method thereof
DE112014000444B4 (de) Integrierte fotonische Halbleiterstruktur mit einem Fotodetektor und einem CMOS-Bauteil sowie Verfahren zu dessen Herstellung
US7453132B1 (en) Waveguide photodetector with integrated electronics
KR101750742B1 (ko) 광검출기 구조체
KR20050093785A (ko) 반도체 장치와 그 제조 방법 및 표면 조명 광검출기와 그제조 방법
EP1946160A1 (en) Vertically-integrated waveguide photodetector apparatus and related coupling methods
EP1946164A1 (en) Integrated waveguide photodetector apparatus with matching propagation constants and related coupling methods
EP1946169A1 (en) Laterally-integrated waveguide photodetector apparatus and related coupling methods
WO2005091057A1 (en) Bonded thin-film structures for optical modulators and methods of manufacture
JP5370857B2 (ja) ゲルマニウム受光器およびその製造方法
CN111834486B (zh) 波导型GePb红外光电探测器及其制造方法
US11322629B2 (en) Method and optoelectronic structure providing polysilicon photonic devices with different optical properties in different regions
WO2007027615A1 (en) Ridge technique for fabricating an optical detector and an optical waveguide
JP2018056288A (ja) 半導体装置およびその製造方法
KR20060040711A (ko) 써멀 버짓에 대한 솔루션
JP2010212469A (ja) 光検出器およびそれを備えた光集積回路装置
KR100624415B1 (ko) 광디바이스 및 그 제조방법
JP6696735B2 (ja) Ge系光素子及びその製造方法
TW201108402A (en) Semiconductor photodetector structure and the fabrication method thereof
Virot et al. High Speed Waveguide Integrated Lateral PIN Ge on Si Photodiode with very Low Dark Current

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant